лабы / ЛАБ-2
.docxЛабораторная работа № 2
Исследование дешифратора
Программа 1
library ieee;
use ieee.std_logic_1164.all;
entity dec is
port(
adr_i: in bit_vector(2 downto 0);
data_out: out bit_vector(7 downto 0)
);
end dec;
architecture BBB of dec is
begin
p0: process (adr_i)
begin
case adr_i is
when "000"=>data_out<="00000001";
when "001"=>data_out<="00000010";
when "010"=>data_out<="00000100";
when "011"=>data_out<="00001000";
when "100"=>data_out<="00010000";
when "101"=>data_out<="00100000";
when "110"=>data_out<="01000000";
when "111"=>data_out<="10000000";
end case;
end process;
end ;
library ieee;
use ieee.std_logic_1164.all;
entity dec is
port(
y_i: in std_logic_vector(7 downto 0);
adr_out: out std_logic_vector(2 downto 0)
);
end dec;
architecture BBB of dec is
begin
p0: process (y_i)
begin
case y_i is
when "00000001"=>adr_out<="000";
when "00000010"=>adr_out<="001";
when "00000100"=>adr_out<="010";
when "00001000"=>adr_out<="011";
when "00010000"=>adr_out<="100";
when "00100000"=>adr_out<="101";
when "01000000"=>adr_out<="110";
when "10000000"=>adr_out<="111";
when others=>adr_out<="ZZZ";
end case;
end process;
end ;