Добавил:
ИВТ Опубликованный материал нарушает ваши авторские права? Сообщите нам.
Вуз: Предмет: Файл:

экз

.pdf
Скачиваний:
1
Добавлен:
16.09.2023
Размер:
13.37 Mб
Скачать

Зачет по ПЛИС

Билеты берем здесь: http://emirs.miet.ru/oroks-miet/upload/ftp/pub/orioks3/201.Назначение, состав и характеристики ак-47

https://studfile.net/preview/11584560/20/6/Biletyi_PLIS_MP_2020.pdf

1 вопрос билета:

Лектор любит ответы на вопрос слово в слово, как указано в его лекционных материалах. так что уместны будут скриншоты из презентаций или из его лекционок ДОПОЛНИТЕЛЬНЫЕ пояснения на человеческом языке приветствуются.

2 вопрос билета:

Самое время верилога. Скачайте квартус или попросите кого-то, чтобы ваш код могли проверить. Просто кидать код с чата GPT не варик. Если нужно, прикрепите временную диаграмму. Прошу переменные называть понятно и описывать //комментариями , что делают ваши строчки. Чем дотошней, тем лучше. Препод дотошный, потому и подход соответствующий. Постарайтесь не делать кучу Verilog HDL файлов, если это возможно.

Пиманов 1

Задание 1

Приведите классификацию цифровых ИС.

Опишите особенности каждого из приведенных классов, пояснив роль ПЛИС среди них.

Стандартные ИС приобретаются потребителем как законченные устройства и производятся массовыми тиражами, что позволяет компании-разработчику подобных микросхем затрачивать большие средства на их проектирование. Стандартные ИС традиционных видов имеют жесткую внутреннюю структуру, и разработчик не может влиять на характер их функционирования.

Специализированные ИС (СпИС), имеют индивидуальный характер функционирования, и компании приходится в той или иной мере разрабатывать (проектировать) по конкретному заказу, и затраты на проектирование подобной микросхемы ложатся на заказчика.

1.Микросхемы малого и среднего уровней интеграции МИС и СИС, в английской терминологии SSI (Small Scale Integration) и MSI (Medium Scale

Integration). Этот класс микросхем представлен многочисленными и хорошо известными с давних пор стандартными сериями элементов. Необходимость

использования таких микросхем в отдельных ситуациях сохраняется и сейчас, но

построение на них сложных устройств нерентабельно.

2.БИС/СБИС микропроцессоров (МП) и микроконтроллеров (МК). Многочисленные микросхемы МП и МК широко и успешно применяются при

решении задач программной реализации алгоритмов.

3.СБИС запоминающих устройств (ЗУ), относящиеся к наиболее массовым

ИС с широкой номенклатурой, применяемым в устройствах и системах

разного назначения для хранения данных.

4. Интегральные схемы с программируемой пользователем структурой

(ИСПС). Именно эти СБИС открывают возможности использования микросхем высокого уровня интеграции в проектах практически любой тиражности. В ИСПС

задание схеме определенной внутренней структуры

(конфигурирование схемы) выполняется разработчиком, изготовитель в этом процессе не участвует и поставляет на рынок универсальную продукцию,

пригодную для решения широкого круга задач.

ПЛИС рассматриваются в настоящее время как наиболее перспективная элементная база для построения цифровой аппаратуры разнообразного назначения. Появляются и новые возможности реализации на программируемых микросхемах аналоговых и

аналого-цифровых устройств.

Стандартные ИС Большие и сверхбольшие ИС Интегральные схемы с программируемой пользователем структурой ПЛИС

Задание 2

Опишите на Verilog HDL мультиплексор 2 в 1 при помощи непрерывного присваивания. Нарисуйте схему получившегося элемента.

module MX (

input x1,x2, //входы мультиплексора input a, //управляющий вход output out //выход

);

//для непрерывного присваивания используется assign

assign out = a?x1:x2; //если a=0, то в out записывает x1, если a=1, то в out

записывает x2; endmodule

Временная диаграмма

Схема мультиплексора 2 в 1;

Ананьев 2

1. Опишите историю возникновения и особенности ПЛИС.

2.

Опишите на Verilog HDL 8-разрядный реверсивный счётчик с синхронным сбросом и асинхронной параллельной загрузкой при помощи процедурного присваивания. Проиллюстрируйте его работу с помощью временных диаграмм.

module bilet2( input clk,klllL input rst,

input en, //флаг для условия 1-работает 0-нет input load, //для ввода данных

input [7:0] data, output reg [7:0] count );

initial count =8'b11111111;

always @(posedge clk or posedge load) begin

if (load) begin count <= data; end

else if (rst) begin count <= 8'b11111111;

end

else if (en) begin

count <= count - 1;

end

else begin

count <= count;

end

end endmodule

Slavinskiy 3

1 Задание

БИЛЕТ К ДИФФЕРЕНЦИАЛЬНОМУ ЗАЧЕТУ № 3 по курсу: «Программируемые логические интегральные схемы»

1.Приведите общие (системные свойства) микросхем программируемой логики.

2.В чем заключается отличие между блокирующим и неблокирующим присваиванием в Verilog HDL? Приведите пример, проиллюстрируйте его работу с помощью временных диаграмм.

2 Задание

В чем заключается отличие между блокирующим и неблокирующим присваиванием в Verilog HDL? Приведите

пример, проиллюстрируйте его работу с помощью временных диаграмм.

В Verilog HDL блокирующее присваивание (`=`) и неблокирующее присваивание (`<=`) имеют различное поведение.

Блокирующее присваивание выполняется синхронно с тактовым сигналом и блокирует выполнение последующих операций до тех пор, пока присваивание не будет завершено. То есть, блокирующее присваивание выполняется последовательно и последующие операции ждут его завершения.

Неблокирующее присваивание выполняется асинхронно относительно тактового сигнала и не блокирует выполнение последующих операций. То есть, неблокирующее присваивание выполняется параллельно и не задерживает выполнение последующих операций.

module blocking_nonblocking( input clk,

output reg[1:0] a, output reg[1:0] b );

initial a=2'b11; initial b=2'b0;

always @(posedge clk) begin

a[0]<=b[0];

b[0]<=a[0];

a[1]=b[1];

b[1]=a[1]; end endmodule

Sokolov 4

—-----------------------------------------------------------------------------------------------------

1)Приведите классификацию ПЛИС по архитектурным признакам. Опишите каждый из приведенных классов.

Ответ залили на ДИСК:

—-----------------------------------------------------------------------------------------------------

2)

Опишите на Verilog HDL сдвиговый регистр на 4 разряда при

помощи неблокирующего присваивания, проиллюстрируйте его работу с помощью временных диаграмм.

—-----------------------------------------------------------------------------------------------------