Добавил:
Upload Опубликованный материал нарушает ваши авторские права? Сообщите нам.
Вуз: Предмет: Файл:

arx011010_ch2

.pdf
Скачиваний:
14
Добавлен:
11.05.2015
Размер:
1.37 Mб
Скачать
0,1
зд. р
= 0.5(t1зд,0. р

Наиболее часто используется при конструкции схемы с обратной связью. Состояние схемы зависит от выходов и от состояния схемы в предыдущий момент времени.

Простейшие последовательные схемы с обратными связями. Триггеры

К динамическим параметрам относятся параметры, зависящие от времени: время задержки распространения сигнала при включении t1зд,0. р

(интервал времени между входным и выходным импульсами при переходе напряжения на выходе ИС от напряжения 1 к напряжению 0, измеренному на уровне 0,5 или на заданных значениях напряжения) и время задержки распространения сигнала при включении tзд0,1. р . На рис. 4.4, а показан входной,

а на рис. 4.4, б выходной сигнал инвертирующего элемента. Часто для характеристики быстродействия элементов используется средняя задержка распространения сигнала tзд. р.ср + t ), измеряемая обычно в

наносекундах (1нс= 10-9 с).

Иногда указывается время перехода ИС из 1 в 0 t1,0 , а также время перехода из 0 в 1 t 0,1 .

4.2.1. Общие положения

Двоичный статический триггер имеет два устойчивых состояния 0 и 1 и_ два выхода: прямой Q и инверсный Q . Когда Q = 0, Q = 1, триггер находится в нулевом состоянии, при Q = 1, Q = 0 триггер – в единичном состоянии. Если в некоторый момент времени tn на триггер, находящийся в состоянии Qn , поступают информационные сигналы, то это приводит к переходу триггера в следующий момент времени tn+1 и состояние Qn +1 , т. е.

Зависимость Qn +1 не только от хn, но и от Qn , а также наличие в

некоторых типах триггеров запрещенных комбинаций по хn приводит к тому, что возможны пять логических состояний выходного сигнала Qn +1 :

0 триггер находится в нулевом состоянии;

1 триггер находится в единичном состоянии;

Qn состояние триггера не изменяется при изменении входных сигналов xi n .

30

Qn состояние триггера изменяется на противоположное при изменении

входных сигналов;

Ø–неопределенное состояние (иногда обозначают Н/0, *, X)- Обозначение Ø похоже на нуль с наложенной на него единицей, т. е. неизвестно, триггер установится в состояние 1 или в 0.

Триггер имеет следующие входы и выходы (рис. 4.26): Sy, Ry установочные входы;

x1 – хm – информационные сигналы, определяющие, в какое состояние необходимо установить триггер, чтобы

Рис. 4.26.

на выходах (прямом Q , инверсном Q ) было одно из пяти перечисленных выше состояний выходного сигнала Qn +1 ;

С1 – Ср – синхронизирующие входы; они определяют момент времени tn+1, в который осуществляется переход триггера в то состояние, которое задано информационными входами x1 – хm

V1 – Vk – управляющие входы, разрешающие прохождение либо информационных сигналов x1 – хm, либо синхросигналов по входам С1 – Ср на триггер.

В зависимости от используемых информационных входов xi триггеры подразделяются на следующие типы:

RS-триггеры, которые имеют вход установки в состояние 1 S (от англ, set – установка) и вход установки в 0 R (от англ, reset – сброс, установка в 0). Триггеры, имеющие инверсные входы R и S, называют RS-триггерами с инверсными входами;

JK-триггеры, имеющие информационный вход установки в состояние 0 K (от англ, kill – внезапное отключение) и вход установки в 1 J (от англ, jeck

– внезапное включение);

D-триггеры (от англ, delay – задержка), имеющие один информационный вход D, по которому они устанавливаются как в состояние 1, так и в 0;

DV-триггеры, работающие как D-триггеры при V= 1 и сохраняющие предыдущее состояние Qn , если V = 0 (управляющий вход V от англ, valve –

клапан); Т-триггеры – счетные триггеры, имеющие информационный вход Т (от

англ, toggle – релаксатор).

В табл. 4 приведена сокращенная таблица состояний триггеров. Таблица 4.

31

По наличию синхровходов триггеры подразделяются на асинхронные и синхронные.

Если триггер не имеет ни одною синхровхода С (от англ. clock – первичный источник сигналов синхронизации), то он называется асинхронным и момент изменения выходного сигнала определяется моментом прихода сигналов хi

Синхронные триггеры принято классифицировать по способу приема входной информации и по принципу передачи принятой информации на выход. По способу приема информации можно выделить две группы: управляемые уровнем синхросигнала (триггеры со статическим управлением) и управляемые фронтом синхросигнала (триггеры с динамическим синхронизирующим входом).

Синхронный триггер, управляемый уровнем синхросигнала, принимает те информационные сигналы, которые появляются на его входах в течение всей длительности импульса синхронизации. Синхронный триггер, управляемый фронтом синхросигнала, принимает те информационные сигналы, которые совпадают с приходом только фронта синхросигнала.

По принципу передачи принятой информации синхронные триггеры подразделяют на триггеры с одной (одноступенчатые) и двумя (двухступенчатые) ступенями запоминания информации. У синхронных одноступенчатых триггеров прием и передача на выход принятой информации неразрывно связаны. У синхронных двухступенчатых триггеров прием и передача на выход принятой информации разделены так, что потенциалы на выходах триггера меняются лишь после того, как триггер перейдет в режим хранения принятой информации. Двухступенчатые триггеры строят с использованием основного m (от англ, master – основной) и вспомогательного s (от англ, slave – вспомогательный) триггеров.

Правила (законы) функционирования триггеров могут быть заданы: 1) словесным описанием; 2) таблицей переходов триггера, т. е. таблицей информационных значений входных сигналов xi, внутренних состояний Qn , и

выходных сигналов Qn +1 триггера; 3) характеристическими уравнениями – логическими функциями типа Qn +1 = f (Qn , xim ), где i= 1, 2, ..., m; 4) в виде графа.

32

t1зд,0. р

Рис. 4.27.

4.2.2. RS-триггеры

Закон функционирования RS-триггера отражен в табл. 5. На рис. 4.27, а показана логическая структура (функциональная схема) RS-триггера, на рис. 4.27, б – его условное графическое обозначение (УГО), а на рис. 4.27, в – график предельного динамического режима работы RS-триггера. Примем для

простоты t1зд,0. р tзд0,1. р tзд. р.ср

В момент ti на вход триггера поступают сигналы S = 1, R = 0. Поступление сигнала S = 1 приводит к переключению с задержкой tзд. р.ср в момент t2 нижнего элемента ИЛИ – НЕ (табл. 6) и на выходе Q

устанавливается 0. Возникновение в момент t2 сигнала Q = 0 при R = 0 приводит к появлению с задержкой

Таблица 5.

Таблица 6.

Q =1 в момент t3. Быстродействие триггера определяет наименьший

разрешающий интервал времени tраз между входными сигналами минимальной длительности, действующими на тот же вход триггера и вызывающими бесперебойное переключение триггера.

Триггер переключается «бесперебойно», если длительность выходного сигнала не менее tзд. р.ср одного ЛЭ схемы. Из рис. 4.27, в

33

Максимальная частота переключения триггера

Так как мы не учитывали длительность фронтов, то фактическая длительность выходного сигнала Q (Q ) меньше tзд. р.ср , поэтому рабочей

принято считать частоту в 1,5 раза меньшую:

Минимальная длительность входного сигнала

где k – число элементов в цепочке от входа информационного (или

тактового) сигнала до входа

элемента, на котором замыкается триггерное

кольцо обратной связи. В

нашем случае tи = 2tзд. р.ср , т. е. задержке

срабатывания двух элементов ИЛИ – НЕ. В дальнейшем на временных диаграммах не будем учитывать наличие задержки ЛЭ, т. е. будем считать tзд. р.ср = 0 (см. рис. 4.28).

На графике (рис. 4.28) приведен пример произвольного изменения входных сигналов S и R. В момент t3

Рис. 4.28.

Рис. 4.29.

на входы поступают сигналы S = R = 1, на выходах Q = Q = 0. Q = Q = 0

это вполне определенное состояние, появление которого мы можем предсказать.

Неопределенность Ø (см. табл. 4.3) функционирования RS-триггера

наступит в момент t7 (рис. 4.28), когда оба сигнала R = S = 1 одновременно изменятся на R = S = 0. В этом случае из-за неидентичности элементов ИЛИ

НЕ триггер перейдет в состояние Q = 1, Q = 0 или Q = 0, Q = 1, однако в

какое именно, сказать заранее невозможно.

На рис. 4.29 закон функционирования RS-триггера представлен в виде графа: в кружках указаны состояния триггера, на дугах графа (направленных ребрах) проставлены комбинации входных сигналов R и S, * –безразличное состояние (0 или 1).

4.2.4. D-триггеры

34

Закон функционирования D-триггера, приведенный в табл. 4.6, описывается логическим уравнением

Асинхронный D-триггер не нашел применения, так как представляет собой последовательное соединение двух инверторов.

Рис. 4.36.

Ни рис. 4.36, а приведена логическая структура синхронного D-триггера, на рис. 4.36, б –его УГО. Это одноступенчнтый триггер, управляемый уровнем синхро – сигнала: на всей длительности уровня синхросигнала от t1 до t2 выход меняется в соответствии с изменением сигнала по входу D. При С = 0 синхронный триггер не реагирует на изменение сигнала по входу D. Пример временной диаграммы дан на рис. 4.36, в.

Таблица 7.

4.2.5. DV-триггеры

На рис. 4.37, а приведена функциональней схема синхронного одноступенчатого DV-триггера, а на рис. 4.37, б –его УГО. При V = 1 DVтриггер работает как синхронный D-триггер. Когда на управляющем входе V = 0, триггер сохраняет предыдущее состояние Qn.

Рис. 4.37.

4.2.6. D-триггер с динамическим синхронизирующим входом

На рис. 4.38 показана функциональная схема D-триггера с динамическим синхронизирующим входом С (триггер, управляемый фронтом синхросигнала С). Этот D-триггер состоит из трех RS -триггеров:

35

двух входных триггеров Т1 (вентили G1, G2), Т2 (вентили G3, G4) и одного выходного ТЗ (вентили G5, G6).

Рис. 4.38.

При С = 0 сигналы х2, х3 принимают единичное значение (х2 = х3 =1) и выходной триггер ТЗ работает в режиме хранения информации, а триггеры T1, T2 – в режиме приема информации по входу D. При этом

Это означает, что всегда в одном из двух триггеров (Т1 либо Т2) оба выходных сигнала равны 1. Неправильное состояние исчезает при поступлении положительного фронта синхросигнала С (при переходе С с 0 на 1). Во время положительного фронта тактирующего импульса выполняется равенство Q = D. Правильное состояние приводит к запрету прохождения сигнала D на входы триггера ТЗ.

Рис. 4.39.

Рис. 4.40.

Для записи новой (например, единичной) информации необходимо подать С = О, D = 1. С приходом положительного фронта С = 0, D-1 триггер ТЗ переключается в единичное состояние (Q = 1, Q = 0).

Ни рис. 4.39 показаны для сравнения входные сигналы такой формы, как и на рис. 4.36, в. Из сравнения входов Q видна разница в переключении триггера, управляемого уровнем (см, рис. 4.36, а), и триггера, управляемого фронтом (см. рис. 4.38) синхросигнала. Для устойчивой работы D-триггера с динамическим входом и момент действия положительного фронта синхроимпульса сигнал по входу D не должен изменяться (объединять входы С и D не допускается).

36

4.2.7. JK-триггер

В качестве примера на рис. 4.41 приведена упрощенная схема синхронного двухступенчатого Л К-триггера серии K155TB1 с логикой 3И на входе и асинхронными входами R , S .

JK-триггер состоит из основного триггера m (см. рис. 4.35, б) с выходами Q1 , Q1 и вспомогательного (см. рис. 4.32, б) с выходами Q , Q . В табл. 4.7 приведен закон функционирования этого триггера, где J = J1 J 2 J3 ,

K = K1K2 K3 .

На рис. 4.42, а приведен пример временной диаграммы работы JKтриггера. По установочным входам R , S JK-триггер работает как асинхронный RS-триггер с инверсными входами ( RS -триггер) в соответствии с табл. 4.5 независимо от значений сигналов по входам C, J1 J3 , K1 K3 . В табл. 4.7 крестиком отмечены безразличные значения (0

или 1) по входам J и K.

Рис. 4.41.

Рис. 4.42.

При R = S = 1 триггер работает как синхронный двухступенчатый JKтриггер ms, т.е. по переднему (положительному) фронту синхроимпульса, например в момент t1 триггер m устанавливается в 1 (Q1 = 1, Q1 = 0, так как J = 1, K = 0), а по заднему (отрицательному) фронту синхроимпульса по входу С информация с триггера m переписывается с помощью элементов D1, D2 в триггер s и появляется на выходах Q = 1, Q = 0.

Таблица 8.

37

Начиная с момента t2 (до t3) C = 0,

Так как триггер s – это RS-триггер с инверсными входами, то выполняется условие Q = Q1 , Q = Q1 .

При R = S = 1 и С = 1 y1 = y2 = 1, триггер s сохраняет предыдущее состояние. При J = K=1 благодаря обратным снизим с выходом Q , Q на вход триггера m осуществляется инверсия предыдущего состояния Qn .

На рис. 4.42,б дано УГО JK-триггера. Две буквы ТТ в УГО показывают, что триггер двухступенчатый.

4.2.8. Т-триггер

Это счетный триггер, который меняет свое состояние на обратное при Т= 1 (см. табл. 4.2).

На рис. 4.43, а приведена схема асинхронного Т – триггера на базе JK - триггера. на рис. 4.43,б, в – возможные УГО Т-триггера, а на рис. 4.43.д, – временная диаграмма работы. Так как JK-триггер двухступенчатый, то переключение на выходе Q осуществляется по заднему отрицательному фронту импульса по входу Т, который подается на вход С JK-триггера. При построении Т-триггера используется в данном случае переход JK-триггера при J = K=1 в состояние Qn (см. табл. 4.2 или 4.7). Асинхронный Т-триггер на базе JK-триггера можно получить также, подав на входы J = K=1 уровни логической 1 (рис. 4.43, г).

На рис. 4.44, а, б, в показаны соответственно реализация синхронного Т- триггера на базе JK-триггера, его УГО и временная диаграмма работы. На рис. 4.45, а, б даны реализация асинхронного Т-триггера на базе D-триггера с динамическим синхронизирующим входом и временная диаграмма работы. Так как D-триггер с динамическим входом (см. рис. 4.38) срабатывает по переднему фронту синхроимпульса, то и изменения на выходах Q и Q в

схеме, показанной на рис. 4.45, а, будут по переднему (положительному) фронту импульса по входу Т.

38

Рис. 4.43.

Рис. 4.44.

Рис. 4.45.

Генератор тактовых импульсов

Устройство, которое вырабатывает в определенный момент времени, через строгие промежутки называются генератором тактовых сигналов. Функционально синхроимпульс означает единичное значение входа переменных. Значение единички на синхронизируемом входе держится в течении некоторого времени равном длине синхроимпульса. Величина время должна быть меньше чем время срабатывания самого медленного устройства.

4.3. Операционные узлы 4.3.1. Общие сведения

Операционные (функциональные) узлы предназначаются для выполнения простейших операций над машинными словами или их частями: запоминание, сдвиг кода, сложение кодов, их сравнение и т. д. Операционные узлы строятся из логических и (или) запоминающих элементов (триггеров). К типовым операционным узлам ЦВМ относятся дешифраторы, шифраторы, мультиплексоры, демультиплексоры, схемы сравнения (компараторы), схемы свертки, сумматоры, регистры, счетчики и др.

39

Соседние файлы в предмете [НЕСОРТИРОВАННОЕ]