Добавил:
Опубликованный материал нарушает ваши авторские права? Сообщите нам.
Вуз: Предмет: Файл:

Embedded system engineering magazine 2006.05,06

.pdf
Скачиваний:
17
Добавлен:
23.08.2013
Размер:
5.55 Mб
Скачать

Editorial

Editor:

Dick Selwood

E-mail: ese@edaltd.co.uk

Tel: 01962 853781

Consulting Editor:

Martin Whitbread

E-mail: ese@edaltd.co.uk

Managing Editor:

Andrew Porter

E-mail: ese@edaltd.co.uk

Publisher:

Martyn Day

E-mail: ese@edaltd.co.uk

Design Manager:

Stuart Wilkes

E-mail: stuart@edaltd.co.uk

Production

Production Manager:

Dave Oswald

E-mail: dave@edaltd.co.uk

Advertising

Advertisement Manager:

Steve Banks

E-mail: steve@edaltd.co.uk

Financial Director:

Terry Wright

E-mail: accounts@edaltd.co.uk

Subscriptions

Circulation Manager:

Alan Cleveland

E-mail: alan@edaltd.co.uk

Free Subscriptions:

Embedded System Engineering is available on free subscription to UK readers qualifying under the publisher’s terms of control.

Paid Subscriptions:

£15.00 per year (8 editions) in UK and Eire; £28.00 per year in Europe;

£45.00 per year rest of world.

See www.esemagazine.com/register/ for details

Origination

ESE is published by:

Electronic Design Automation Ltd, 63/66 Hatton Garden,

London, EC1N 8SR.

Tel: 020 7681 1000

Fax: 020 7831 2057 E-mail: ese@edaltd.co.uk

Printed in the UK by

The Magazine Printing Company

www.magprint.co.uk

© Electronic Design Automation Ltd Reproduction in whole or part without prior permission from the publisher is strictly prohibited.

E D A

P U B L I C A T I O N S

Embedded System Engineering

May/June 2006

Editorial

Reflections on processors

04

Different approaches to processor architectures are providing a range of choices to the embedded designer

News

Industry

06

Simulating tugs and tankers, Venus, MEMS and ZigBee.

Chips

08

Chip news includes the new Virtex-5, FastMode I2C and Gigabit UWB.

Tools

10

Support for Virtex-5, real-time ‘scope, and kits for ST and Philips micros are part of our tools coverage.

Boards

12

New board products include Virtex-5 cards, a Core Duo boards and Gigabit Ethernet for existing backplanes.

Technology: Embedded and configurable processors

Developing embedded applications using multi-core

14

Reconfigurable microcontrollers

16

Multithreaded architecture speeds embedded applications

21

Embedded multicore designs force stringent APIs

26

Six-pin microcontrollers offer ASIC design versatility

28

Accelerating compute intensive embedded applications

30

FPGA-centric computing architecture

31

When is slow fast?

38

Application Focus: Security and encryption

Protecting the “Secret Sauce”

24

In-Depth: Securty and encryption are vital ingredients

32

The building blocks of security

34

Accelerating cryptographic algorithms

35

Keeping security fast and relevant

36

Buyer’s guide

High performance embedded processors

18-21

54 products from 16 manufacturers.

 

Next Issue: Software modelling and code generation, wireless M2M and a modelling and code generation buyers guide.

</Contents>

ESE Magazine May/June 06

03

</Editorial>

ESE Magazine May/June 06

Editorial comment:

Reflections on processors

<Written by> Dick Selwood </W>

Different approaches to processor architectures are providing a range of choices to the embedded designer.

SO FAR THIS YEAR there has been a raft of processor announcements, making this one of the

most exciting areas of electronics today.

Earlier this year ARM released the Cortex Architecture, and in the last few days has announced the Cortex-R4 processor, the second Cortex core, which is aimed at the one billion unit market of next generation mobile phones, hard-disk drives, printers and automotive applications.

The Cortex-R4 embodies two trends that are widely present: it can be configured and it will form the basis for application specific devices. During synthesis, silicon vendors can configure the R4 for different embedded applications through the memory protection unit, caches and tightly coupled memory. Configurations continue to have ARM instruction set compatibility. Vendors will use configurability to create devices targeting applications and ARM has already sold three licenses for the R4. At the same time Luminary has released four more members of the Stellaris family of 32-bit MCUs, based on the earlier ARM Cortex-M3.

These are all examples of a manufacturer tailoring the Cortex to specific applications. The new parts are aimed at motor-control, hoping to displace the 8 bit devices that dominate this market. The LM3S316 has been optimized for stepper motors; LM3S301 and LM3S315 for DC and stepper motors; and the LM3S310 for brushless DC and AC induction motors.

These are clear examples of extending existing architectures through better design and improved processing technology. But this only goes so far in meeting the needs of future embedded applications, so there is a mass of work on new architectures. What they all trying to achieve is, at first sight, the equivalent of squaring the circle – producing processing elements that ran faster yet consume less power.

MIPS is doing this by multithreading on virtual machines in a single core (see page 22). Intel has decided that another route is to put multiple cores on a single device. However, as they explain on page 14, the Core Duo with two cores on a

chip, is only the starting point: further devices will have more processors, and the processor will be at the heart of a platform approach of other chips and development tools, again tailored for specific applications.

IntellaSys is looking at many more than two processors with its Scalable Embedded Array (SEA) architecture. The first product is the SEAforth-24, with a 6x4 array of 18-bit processors, each of which can operate at one billion instructions per second and dissipates only 150mW in a typical application.

As the 24 cores operate independently on the chip, designers can dedicate groups of them to handle specific tasks. For example, some could be assigned compute-intensive audio processing while others handle wireless/USB interfaces and drive external memory. Each core runs at the full native speed of the silicon and they talk to each other to pass data, status signals, and even code blocks through dedicated registers.

The native machine code for the SEA Platform chips is VentureForth, RISC version of the Forth language created by IntellaSys CTO Chuck Moore. Future devices will have many more processors. Multi-processors have a number of issues in common, and the Multi-Core Association has been formed to address these. A report from the association is on page 26.

Platforms mixing processors and DSPs are nothing new, Philips launched Nexperia many years ago, but more and more are appearing, with the difference the balance between tasks in the DSP segment and the processor segment.

Another route is to look to configurable platforms, and Nallatech has moved from using FPGAs as the glue logic for multi-processor systems to using FPGAs as the processing elements. This pilgrimage is described on page 31. If you have read this far you may have noticed a lot of references to articles in this issue. We have pulled together information from a rage of sources, beyond those already mentioned, and this, coupled with the processor buyers guide, makes this a peculiarly processor intensive issue. <Ends/>

Optimising

Hardware

The Leader in Embedded

Debug

Software Development

Compilers

Probes

 

FREE 30-Day Product Evaluation

 

 

Integrated

Real-Time

register now at www.ghs.com/eval

 

Development

Operating

 

Environments

Systems

 

Debugging &

All

Performance

Royalty

Analysis

Free!

Tel: +44 (0)1844 267950 Fax: +44 (0)1844 267955 Email: sales-uk@ghs.com

Some things

have

to go out

on time

As an embedded software developer, you’re always facing the next deadline. We know it’s important to get your products to market before your competitors, and we can help. With our Eclipse-based development tools, tightly integrated embedded software and support that is second to none, we offer you a partner to get your product to market quickly and easily.

The EDGE Eclipse-based development environment provides a set of top-notch development tools in the industry today. You’ll see how quickly you can code, collaborate on and deliver your final product. Additionally, the Nucleus range of royalty-free RTOS and middleware products gives you a proven kernel with everything else you need in a modern OS. Open, available, affordable solutions.

Finally, our Customer Support has one goal: provide the most experienced, timely and one-on-one customer support in the industry. As the only five-time recipient of the Software Technical Assistance Recognition (STAR) Award for technical support excellence and global support center practices certified by the Support Center Practices (SCP), we are dedicated to your success.

For a free evaluation, visit our website

Mentor.com/embedded or email us at

embedded_info@mentor.com

©2006 Mentor Graphics Corporation. All Rights Reserved.

</News - Industry>

ESE Magazine May/June 06

Industry

Venus Express in orbit

PART OF THE SUCCESS in placing the European Space Agency’s Venus Express spacecraft into orbit around Venus was due to Delta-DOR. a new

measurement system from BAE Systems

It measures the difference in the time it takes signals from the spacecraft to reach two different receiving stations to an accuracy of better than a billionth of a second.

The Venus Express mission is expected to last two years and during its orbit the spacecraft will study the atmosphere, plasma environment and surface of the planet in detail.

www.baesystems.com/

ZigBee growing

ZIGBEE SINGLE-CHIP wireless appears to be going main-stream. One indication is the news that Ember is hoping to double its Cambridge IC team by the end of 2006.

Another is the presentation at the forthcoming ZigBee Alliance Open House (San Jose, June 15) on FedEx’s use of ZigBee technology throughout its business.

But ZigBee still has a way to go to catch USB, with just one company, Cypress having shipped over 500 million USB controllers since 1997.

www.cypress.com

www.zigbee.org

www.ember.com

Micro meets nano

THE DTI is investing £4.3m in the Systems Engineering Innovation Centre (SEIC) at Loughborough, to create a new Centre of Excellence to accelerate the integration of micro and nano technologies into products and processes. The is part of MNT Capital Facilities Programme and is the latest money allocated from a £90m fund aimed at unleashing the commercial potential of these technologies.

www.seic-loughborough.com

For a more detailed look at these stories please visit

www.esemagazine.com

Reproducing keyboards

CreamWare’s Authentic Sound Box (ASB) family of sound modules is designed to emulate the sound and feel of popular vintage keyboards.

The MiniMax and Pro-12 ASBs faithfully recreate every nuance of the legendary MiniMoog and Prophet-5 keyboards, while the B4000 ASB, CreamWare's most recent design replicates the analog circuitry modelling of the Hammond B3 tonewheel organ, one of the most popular organs ever created and used by thousands of musicians from rock to gospel. At the heart of the ASB is Analog Devices SHARC processors.

www.creamware.com

www.analog.com

Guide to industrial ethernet

HARTING have released 'Industrial Ethernet: from the office to the machine - worldwide'

It covers Industrial Ethernet and the relevant standards, transmission technology and cabling, and future developments, together with a list of standards and guidelines, a comprehensive bibliography, links to a range of relevant web sites, and a glossary of Industrial Ethernet terminology.

Normally priced at £7.95, it is available free of charge to qualified enquirers applying to

salesuk@harting.com

Sneakernet for home media

The spread of multimedia home networks may be slower than forecast, says In-Stat, because users will be happy to use low-cost portable storage devices that can move content throughout the home via sneakernet.

Storage based on interfaces such as Secure Digital, Memory Stick, USB and MicroDisk are low cost and falling in price and can contain entire movies.

www.Instat.com

Middleware for simulator

Force Technology’s latest marine simulator provides a complete environment for training tug boat captains in maneuvering large vessels such as oil and gas tankers into restricted spaces using multiple tugs.

06

“QNX has consistently defined the leading edge of RTOS technology.”

Dan Dodge. QNX CEO & CTO.

OS architect and father of embedded computing.

Slash your debugging time by weeks, even months, with the QNX® Neutrino® RTOS, the most innovative operating system on the market today. Unlike conventional OSs, QNX Neutrino runs all applications and system services — even device drivers — as memory-protected components. So you can detect memory violations immediately. And focus on what really counts: building innovative features, faster.

Combine this with performance rated #1 in the RTOS market and reliability proven in millions of installations, and you have the platform to power your own leadingedge design.

Focus on Innovation, not Debugging

In the QNX Neutrino RTOS, device drivers, file systems,

and protocol stacks all run outside of the kernel, as memoryprotected processes. This architecture virtually eliminates memory corruptions, mysterious lockups, and system resets. Achieve maximum reliability and put an end to endless debug sessions.

 

 

 

 

 

 

 

Memory Protected

 

 

Flash

TCP/IP

Web Browser

Serial Device

 

File System

 

Driver

 

 

 

 

 

Microkernel

 

 

 

 

 

 

 

 

Message-Passing Bus

 

 

 

 

Media Player

HTTP Server

USB Device

Application

High Availability

 

 

Driver

Manager

 

 

 

 

 

 

Memory Protected

Cut your development time. Build longer-lasting products. Gain maximum performance.

Only the QNX Neutrino RTOS gives you:

Adaptive partitioning to contain security threats and guarantee realtime behavior

Multi-core, multi-processing support for the ultimate in scalability and performance

Optimized support for ARM®, MIPS®, PowerPC®, SH-4, XScale®, and x86 processors

Preintegrated stacks for IPv4, IPv6, IPsec, SNMP, SSH, SCTP, TIPC, IP Filtering and NAT

Royalty-free kits for multimedia, flash file systems, 3D/2D graphics, web browsers, etc.

Unparalleled support for open standards: POSIX, Eclipse, OpenGL® ES, RapidIO®

Discover how Dan and the QNX team can sharpen your competitive edge. Download your free product evaluation from www.qnx.com/innovate.

© 2006 QNX Software Systems GmbH & Co. KG, a Harman International Company. All rights reserved. QNX and Neutrino are trademarks of QNX Software Systems GmbH & Co. KG, registered in certain jurisdictions and are used under license. All other trademarks and trade names belong to their respective owners. 301816 MC339.16

</News - Chips>

ESE Magazine May/June 06

08

Chips

Universal motherboard clock

CYPRESS has introduced the industry's first personal computer motherboard clock generator that supports multiple processors and chipsets. The

Universal Clock Generator supplies timing signals for both Intel P4 processors and AMD K8 and next-generation processors, along with multiple chipsets from Intel, VIA, and Silicon Integrated Systems (SiS).

Motherboard manufacturers can greatly simplify their inventory requirements, and can make adjustments to their product mix without the need for a different clock if a chipset or processor is in short supply. Designers can transfer the same design and layout from one system to another using the new universal clock, reducing risk and saving design time and resources.

www.cypress.com

Integrated RF synthesiser

ST’S NEW integrated RF synthesisers with embedded voltage-controlled oscillators, claims the lowest integrated phase noise and, with available output frequency above 3.0GHz, the largest band coverage. The STW81101 and STW81102 are for radio applications from cellular base stations to satellite communications and CATV equipment.

Measured phase-noise performance values are 0.2° RMS at 1 GHz, 0.5° RMS at 2GHz, and 1.3° RMS at 4 GHz with a frequency step of 200 kHz. The devices operate in multiple bands, over a spectrum from 750 MHz up to 4.65GHz, potentially replacing multiple dedicated devices.

www.st.com

Isolated flyback controller

THE LT3837 is a synchronous flyback DC/DC controller with precision regulation and high efficiency for 10W to 60W isolated power supplies. It has 9V to 36V input voltage operation and needs no optoisolator.

www.linear.com

For a more detailed look at these stories please visit

www.esemagazine.com

Gigabit UWB chipset

Pulse~LINK has launched its CWave UWB solution for whole-home distribution of HDTV content, multi-channel audio and high-speed data across in-home coax and wireless networks.

CWave provides a foundation for whole-home networking with up to Gigabit data rates and guaranteed quality of service. Coax, wireless, and power line communications are all supported simultaneously from the same chipset radio. Benefits and applications include eliminating the "rat's nest" of wiring behind today's home entertainment centres, as well as the ability to connect devices such as set-top boxes, DVD players, personal video recorders, home theatre systems, TVs, PCs and more throughout the entire home.

The CWave PL3100 chipset provides RF, Analog, Baseband and MAC functions in three chips, the PL3130 Baseband and MAC, the PL3120 RFIC front end, and the PL3110 UWB LNA.

www.pulselink.net

ROM PIC

Microcontrollers

The PIC16CR7X ROM microcontrollers, the first in a new series of ROM PIC16 and PIC18 devices from Microchip, provide the interchangeable options for Microchip's PIC16F7X Flash products.

Manufacturers can now switch between

Flash flexibility during development and at rampup and ramp-down and ROM memory for high volume production.

The new ROM devices use the same development tools at their Flash equivalents including the free-of-charge MPLAB IDE and the MPLAB ICD.

www.microchip.com/ROM

Fast-mode Plus I2C

Philips has announced the first I2C Logic devices based on the Fast-mode Plus (Fm+) specification.

The new specification, at 1MHz (1MB/s), is more than twice the frequency of previous I2C- bus specifications and is backward compatible with existing Fast-mode and Standard-mode devices. In addition, Fm+ increases the total possible capacitance by a factor of 10, for longer distance transmission at slower bus speeds without requiring buffers. Products supporting the new standard include the PCA9633 4-bit I2C LED controllers, the PCA9698 advanced 40-bit I2C I/O expander and the PCA9665 Fast-mode Plus parallel bus to I2C-bus controllers.

www.philips.com/i2c

Virtex-5

XILINX HAS launched its new Virtex-5 family, the first 65 nm FPGAs. Samples of Virtex- 5 LX parts are already with customers.

Xilinx is claiming that the new process and architectural changes will give 30 percent higher speeds and 65 percent increased capacity over previous generation 90-nm FPGAs – with dynamic power consumption reduced by 35 percent, the same low static power and 45 percent less area.

The Virtex-5 family is being developed as four platforms, each optimised for different applications, with a choice of options within each platform. Virtex-5 LX, for high performance logic is shipping now, the Virtex-5 LXT for high performance logic with serial connectivity and the Virtex-5 SXT for high performance DSP with serial connectivity are planned for the second half of 2006. The Virtex-5 FXT, which is for embedded processing with serial

connectivity, is due in the first half of 2007. Design changes include a new fabric with

a look-up table (LUT) with six independent inputs and a new diagonal interconnect structure. Sparse Chevron packaging technology provides up to 1,200 user I/Os, supporting 1.25 Gb/s double data rate.

Design tools and evaluation boards are available from suppliers such as Synplicity, Mentor, Magma and VMETRO.

www.xilinx.com

rswww.com/electronics Expanding New Technologies

Great new changes at RS! Bursting onto the UK market are the latest Wireless and Display products from some of the leading manufacturers in the business.

FREE >> 90,000 datasheets online

FREE >> Technical help

FREE >> Next day delivery

1745 new wireless products being introduced including all of the most popular wireless technologies: we’ve got RFID, ZigBee, Bluetooth and GPRS, with a full range of development boards, kits and antennas

318 new displays with TFT and EL panels, LCD modules, industrial monitors, fully embedded solutions and accessories

08457 201 201

</News - Tools>

ESE Magazine May/June 06

Tools

LXI-certified waveform generator

AGILENT has introduced the first LXI-certified 20 MHz function/arbitrary waveform generator with variable-edge pulses and pulse-width modulation.

www.agilent.com

Embedded PowerPC system

THE RTG004 from Hunt Engineering is a programmable USB-connected Virtex-II Pro system. It includes a Xilinx Virtex-II Pro with embedded PowerPC, 30 user configurable digital I/Os and 256 Mbytes DDR SDRAM. It is designed for users to develop and deploy a system with a C programmable processor and FPGA gates.

www.kanecomputing.co.uk

Flash file system

DENALI SOFTWARE has announced the Spectra flash file system, the first embedded software product offering from the company. With Spectra, embedded system developers can use any commercially available NAND or NOR flash device for applications, ranging from handsets to network routers running multi-threaded applications.

www.denali.com/spectra

Rugged PCI-X portable platform

THE NEW Kontron FlexPAC PCI-X platform system is a platform for test and measurement environments or applications that require a high

performance rugged solution. Based on an Intel Xeon 2.8 GHz processor it provides three slots for expansion, one PCI-X 133 MHz slot and two PCI-X 100 MHz slots.

www.kontron-emea.com

For a more detailed look at these stories please visit

www.esemagazine.com

Development suite covers LPC210X

Crossware has enhanced its ARM Development Suite with support for the Philips LPC210X ARM-based microprocessors.

uation board equipped with a STR912F microcontroller, the USB-based “Tantino for ARM7-9” JTAG-debugger a Quick Start Guide and the HiTOP development environment including examples, GNU compiler, HiSIM ARM instruction-set simulator and the Tessy software test tool.

www.hitex.co.uk

The Philips chips are based upon the ARM7TDMI-S processor core. Crossware provides a combination of wizards, simulation, debugging, compiler extensions and pre-configu- ration to simplify the complex task of setting up an ARM chip. Code Creation Wizards are provided for all on-chip peripherals to generate configuration code, interrupt routines and, for the UART, complete I/O handlers.

Crossware’s jPOD USB JTAG interface connects to the standard ARM 20-pin JTAG connector allowing the Crossware source level debugger to drive the on-chip ARM embedded in-circuit emulator.

www.crossware.com

Starter kit for STR912F

Hitex has released a starter kit for the new STMicroelectronics’ STR912F controller.

The STR912F is based on the ARM966E-S core and is suited for industrial control applications. The starter kit contains hardand software components for an evaluation. It includes an eval-

Real-time oscilloscope

LeCroy’s SDA 18000, is a 4-channel realtime serial data analyzer with 18 GHz bandwidth, 60 GS/s sampling rate and up to 150 million points memory. It is accompanied by the SDA 9000 for applications requiring only 9 GHz bandwidth.

The SDA 18000 is for real-time measurements of the fastest serial data standards, including 10 Gb/s Ethernet, and FibreChannel 8.5. Capturing the data stream in real time with multiple points per transition edge allows the SDA 18000 to recover the embedded clock and phase lock to it, for precise jitter measurements. Complete breakdown of jitter composition is possible, even with non-repeating or “live” data.

LeCroy has also developed the Q-Scale analysis and plot view, to provide much more insight into jitter. Analysis breaks down jitter to identify components accurately instead of as random jitter, while plot provides a visual representation of jitter breakdown.

www.lecroy.co.uk

Support for Virtex-5

THERE WAS a flurry of tool announcements after Xilinx launched the Virtex-5, with design support from Synplicity, Mentor and Magma.

Blast FPGA, Magma’s synthesis tool, will be supporting Virtex-5 FPGA from June. Magma sees Blast FPGA as creating a starting point for placement optimisation and accelerating timing closure.

Mentor Precision Synthesis now provides logical and physical synthesis techniques for Virtex-5, including an incremental timing analysis tool.

Synplicity’s Synplify Pro synthesis software has been extended to support the new look-up table structure and can automatically infer optimal memory and digital signal processing (DSP) functionality. Synplicity and Xilinx also announced collaboration in developing new design flows for ultra high-densi- ty designs in 65-nm FPGAs.

www.synplicity.com

www.mentor.com

www.magma-da.com

10

Соседние файлы в предмете Электротехника