Добавил:
Upload Опубликованный материал нарушает ваши авторские права? Сообщите нам.
Вуз: Предмет: Файл:

ЭВМ лекции

.pdf
Скачиваний:
61
Добавлен:
08.05.2015
Размер:
2.95 Mб
Скачать

выполненными в виде отдельных интегральных схем, а другая часть разрядов (обычно младшая) формируется с помощью дешифраторов, встроенных непосредственно в БИС запоминающего устройства. Кроме того, дешифраторы

находят применение в устройстве управления для определения выполняемой операции, построения распределителей импульсов и в других блоках.

Рассмотренные схемы дешифраторов являются линейными, поскольку для них характерно одноступенчатое дешифрирование. Линейные дешифраторы

производят преобразование кода с минимальной задержкой и находят применение в наиболее быстродействующих цифровых схемах.

С ростом разрядности входного кода быстро нарастает нагрузка каждого из входов и количество корпусов микросхем для реализации дешифратора. Если количество входов >4, то с целью уменьшения количества корпусов используют многоступенчатую схему построения дешифратора.

Рисунок 8.3 Линейный дешифратор 4×16 Реализация требует 8 корпусов микросхем 4082 (2 4-входовых элемента И)

41

 

 

 

x0

&

q0

q0

&

p0

p0

&

y0

p0

&

y8

x0

 

x0

x1

x2

x3

x3

1

 

 

 

 

 

 

x0

 

q1

q1

 

p1

p1

 

y1

p1

 

y9

x1

 

x1

&

&

&

&

1

x1

x2

x3

x3

 

 

 

 

 

 

x0

 

 

q2

 

 

p2

 

 

p2

 

 

x2

1

x2

&

q2

&

p2

&

y2

&

y10

 

 

x1

 

x2

 

x3

 

x3

 

x3

1

x3

x0

&

q3

q3

&

p3

p3

&

y3

p3

&

y11

 

 

 

x1

 

x2

 

x3

 

x3

 

q0

 

p4

 

p4

 

y4

 

p4

 

y12

&

 

&

&

x2

 

x3

 

x3

 

 

 

 

 

q1

 

p5

 

p5

 

y5

 

p5

 

y13

 

 

 

 

 

&

 

&

&

x2

 

x3

 

x3

 

 

 

 

 

q2

 

p6

 

p6

 

y6

 

p6

 

y14

 

 

 

 

 

&

 

&

&

x2

 

x3

 

x3

 

 

 

 

 

q3

 

p7

 

p7

 

y7

 

p7

 

y15

 

 

 

 

 

&

 

&

&

x2

 

x3

 

x3

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

Рисунок 8.4. Многоступенчатый дешифратор 4×16 Реализация требует 7 корпусов микросхем 4081 (4 2-входовых элемента И)

Наращивание размерности дешифраторов производится следующим образом. Входное слово делится на поля. Разрядность поля младших разрядов соответствует числу входов имеющихся дешифраторов. Оставшееся поле

старших разрядов служит для получения сигналов разрешения одного из дешифраторов, декодирующих поле младших разрядов. В случае каскадирования двух дешифраторов на входы разрешения можно подать сигналы через инвертор.

Рисунок 8.5 Наращивание размерности дешифраторов

42

Дешифраторы совместно со схемами ИЛИ можно использовать для воспроизведения произвольных логических функций. На выходах дешифратора вырабатываются все конституенты 1, которые только можно составить из данного набора входных переменных. Логическая функция есть дизъюнкция некоторого числа значений выходов дешифратора.

На рисунке 8.6 представлена реализация ФАЛ

F = 1 5 7 16

Рисунок 8.6

43

9. Преобразователи кодов

Преобразователи кодов предназначены для перевода чисел из одной формы представления в другую.

Для построения преобразователя кодов строится таблица соответствия, в

которой записывается полный набор входных и соответствующий набор выходных слов. Если входные и выходные слова записаны в двоичном коде, то

синтез преобразователя кодов сводится к нахождению для каждого разряда выходного слова булевой функции, устанавливающей связь данного разряда с входными наборами двоичных переменных. Нахождение такой связи и

минимизация булевого выражения осуществляется с помощью карт Карно (диаграмм Вейча). Полученная функция преобразуется к виду, удобному для реализации в заданном (выбранном) элементном базисе.

В обозначениях кодов 8421, 63-21 и т.д. указан десятичный вес pi двоичной единицы xi соответствующего разряда. Рассмотрим преобразователь кодов 63-21 в 8421. Для построения такого преобразователя строится таблица, в левой части которой перебираются все состояния от 08 (0000) до 178 (1111). Каждое состояние соответствует некоторой десятичной цифре в весах входного кода 63-21. Например, входной код 1110 соответствует десятичной цифре

1110 à 1*6+1*3+(-2)*1+1*0 = 7

Аналогичная таблица строится отдельно для выходного кода, после чего

приводится в соответствие каждая десятичная цифра входного кода комбинации выходного. Если какая-либо цифра может быть представлена во входном (или выходном) коде несколькими способами, то задействуется только одна комбинация весов. Остальные состояния, определяющие данную цифру, считаются неопределенными, например

0001 à 6*0+3*0+(-2)*0+1*1 = 1

0110 à 6*0+3*1+(-2)*1+1*0 = 1

Если в результате комбинации весов не получается значение десятичной цифры, то данная комбинация также является неопределенной:

0010 à 6*0+3*0+(-2)*1+1*0 = -2

44

 

 

 

 

 

 

 

 

 

Таблица 9.1

 

 

 

 

 

 

 

 

 

 

 

8

6

3

-2

1

цифра

8

4

2

 

1

x3

x2

x1

x0

y3

y2

y1

 

y0

 

 

 

0

0

0

0

0

0

0

0

0

 

0

1

0

0

0

1

1

0

0

0

 

1

2

0

0

1

0

*

*

*

*

 

*

3

0

0

1

1

*

*

*

*

 

*

4

0

1

0

0

3

0

0

1

 

1

5

0

1

0

1

4

0

1

0

 

0

6

0

1

1

0

1!

*

*

*

 

*

7

0

1

1

1

2

0

0

1

 

0

10

1

0

0

0

6

0

1

1

 

0

11

1

0

0

1

7

0

1

1

 

1

12

1

0

1

0

4!

*

*

*

 

*

13

1

0

1

1

5

0

1

0

 

1

14

1

1

0

0

9

1

0

0

 

1

15

1

1

0

1

*

*

*

*

 

*

16

1

1

1

0

7!

*

*

*

 

*

17

1

1

1

1

8

1

0

0

 

0

Каждая функция yi минимизируется по диаграмме y3 Вейча.

y3=14 17 (2) (3) (6) (12) (15) (16) y3 = x3x2

x0

y2=5 10 11 13 (2) (3) (6) (12) (15) (16) y1=4 7 10 11 (2) (3) (6) (12) (15) (16) y0=1 4 11 13 14 (2) (3) (6) (12) (15) (16)

 

 

x1

 

7

5

1

3

6

4

0

x3

2

16

14

10

12

17

15

11

13

 

 

 

x2

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

y2 = x3

 

x2

 

x0

 

 

y1 = x3

 

 

 

 

 

x2x1

 

x2

 

y0 =

 

x0 x2

 

x2

x1

 

 

x2

x1

x3

x3

x0

x2

x0

Схемная реализация преобразователя кодов представлена на рис. 9.1.

45

Рисунок 9.1

Существует также класс дешифраторов, адаптированных для отображения выходного кода на 7-сегментном индикаторе: 4055, 4056 (7446 – 7448). Индикатор представляет собой набор светодиодов, схематично изображенный на рис. 8.5.

Рисунок 8.5

При подаче логической единицы на соответствующий сегмент светодиод сегмента загорается. Таблица истинности дешифратора в этом случае будет:

Таблица 9.2

Цифра

 

входы

 

 

 

 

выходы

 

 

 

x3

x2

x1

x0

a

b

c

 

d

 

e

f

g

 

 

 

 

0

0

0

0

0

0

1

1

1

 

1

 

1

1

0

1

1

0

0

0

1

0

1

1

 

0

 

0

0

0

2

2

0

0

1

0

1

1

0

 

1

 

1

0

1

3

3

0

0

1

1

1

1

1

 

1

 

0

0

1

4

4

0

1

0

0

0

1

1

 

0

 

0

1

1

5

5

0

1

0

1

1

0

1

 

1

 

0

1

1

6

6

0

1

1

0

1

0

1

 

1

 

1

1

1

7

7

0

1

1

1

1

1

1

 

0

 

0

0

0

10

8

1

0

0

0

1

1

1

 

1

 

1

1

1

11

9

1

0

0

1

1

1

1

 

1

 

0

1

1

46

Таким образом, имеем:

a= 0 2 3 5 6 7 10 11 (12) (13) (14) (15) (16) (17)

b= 0 1 2 3 4 7 10 11 (12) (13) (14) (15) (16) (17)

c= 0 1 3 4 5 6 7 10 11 (12) (13) (14) (15) (16) (17)

d= 0 2 3 5 6 10 11 (12) (13) (14) (15) (16) (17)

e= 0 2 6 10 (12) (13) (14) (15) (16) (17)

f= 0 4 5 6 10 11 (12) (13) (14) (15) (16) (17)

g= 2 3 4 5 6 10 11 (12) (13) (14) (15) (16) (17)

a = x1 x3 x2x0

 

 

 

b = x3

 

 

 

 

 

 

 

 

x1x0

x2

x0

x2

x1

x0

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

c = x0

 

 

 

x2

 

 

 

d = x3

 

 

x1

 

 

 

 

 

x1

 

x2

 

x0

x1

x2

x2

x0

x0

x1

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

e =

 

 

 

 

x1

 

 

 

 

 

 

 

f = x3 x2

 

 

 

 

 

 

 

 

 

x2

 

x2

x0

x0

x0

x1

x0

x1

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

47

g = x3 x2x1 x2x1 x2x0

 

 

 

 

 

DD1-1

 

 

 

 

 

 

 

 

 

 

DD2-1

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

DD3-1

 

 

 

 

 

x2

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

x0

 

 

 

 

 

 

 

 

 

x2

 

 

 

 

 

 

 

 

 

 

 

 

x2x0

 

x2

 

 

 

 

 

 

 

 

 

 

 

 

x1

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

&

 

x0

 

&

 

 

 

&

 

 

 

 

 

x2x1

&

 

 

x2x1x0

 

 

 

 

 

 

 

 

 

 

x0

 

 

 

 

x1

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

x0

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

x1

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

DD1-2

 

 

 

 

 

 

 

 

 

 

DD2-2

 

 

 

 

 

 

 

 

 

 

 

 

DD3-2

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

x1

 

 

 

 

 

 

 

x2

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

x1

 

&

 

 

 

x2x0

&

 

 

 

x1x0

 

 

DD4-1

 

 

 

 

 

 

 

 

 

 

 

 

&

 

 

 

 

x0

 

 

 

 

x0

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

x1

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

x2

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

x2

 

DD1-3

x2

 

 

DD2-3

 

 

 

 

DD3-3

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

x1x0

 

 

 

 

 

 

 

x2x0

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

&

 

 

x0

 

 

&

 

 

 

 

x0

&

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

x2

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

DD1-4

 

 

 

 

 

 

 

 

 

 

DD2-4

 

 

 

 

 

 

 

 

 

 

 

 

DD3-4

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

x3

 

 

 

 

 

 

 

x1

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

x3

 

 

 

&

 

 

 

 

 

 

 

x1x0

&

 

 

 

 

 

x2x1

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

&

 

 

 

 

x0

 

 

 

 

 

x1

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

DD5-1

 

 

 

 

 

 

 

 

 

 

 

x2

 

 

DD5-2

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

DD7-1

 

 

 

 

 

x1

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

DD4-2

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

x0

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

x3

 

 

 

 

 

 

 

 

 

 

 

 

 

 

x3

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

&

 

 

a

 

 

 

 

 

 

 

 

&

 

 

 

 

b

 

x1

 

&

 

c

 

 

e

 

 

 

&

 

 

 

e

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

x2x0

 

 

 

 

 

 

 

 

x1x0

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

x2

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

x1x0

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

x2x0

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

DD6-1

 

 

 

 

 

 

 

 

 

 

DD6-2

 

 

 

 

 

 

 

 

 

 

DD7-2

 

 

 

 

 

 

 

 

 

DD4-3

 

 

 

 

 

 

 

 

x3

 

 

 

 

 

 

 

 

 

 

x3

 

 

 

 

 

 

e

 

 

 

 

 

 

 

x2x0

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

e

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

x2x0

 

 

 

 

 

 

 

 

 

 

 

 

f

 

 

x2x1

 

 

 

 

g

 

 

 

 

x3

 

 

 

 

 

 

 

d

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

x1x0

&

 

 

 

 

 

 

 

 

 

&

 

 

 

 

 

 

&

 

 

 

 

 

 

 

 

 

 

&

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

x2x1

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

x1

 

 

 

 

 

 

 

 

 

 

 

 

 

x1x0

 

 

 

 

 

 

 

 

x2

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

x2x1x0

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

x2x1

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

x2x0

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

Рисунок 9.2

Микросхемы преобразования кода десятичной цифры в код семисегментного индикатора КМОП(ТТЛ): 4055, 4056, 4511, 4543 (74248, 74249, 7446, 7448).

48

10. Шифратор

Частным случаем преобразователя кодов является шифратор устройство,

обеспечивающее выдачу определенного кода в ответ на возбуждение одного из входов. Шифраторы широко используются для преобразования десятичных цифр

и буквенных символов в двоичный код при вводе информации в ЭВМ и другие цифровые устройства.

Шифратор логическое устройство, выполняющее

преобразование позиционного кода в n-разрядный двоичный код.

Таким образом, шифратор это комбинационное устройство, реализующее обратную дешифратору функцию. Схема полного

шифратора имеет 2n входов и n выходов, функции ее во многом противоположны функции дешифратора. Эта комбинационная схема в

соответствии с унитарным кодом на своих входах формирует позиционный код на выходе. Условное описание шифратора задается формулой m×n, для полного шифратора это формула 2n×n.

В качестве шифратора рассмотрим преобразователь кодов для преобразования восьмеричных чисел в код 421. Входными являются двоичные переменные x0,…x9, которые формируются при нажатии соответствующей клавиши устройства ввода. Переменные являются независимыми и позволяют построить 28=256 входных комбинации. Но если налагается ограничение, запрещающее нажатие двух и более клавиш одновременно, то из 256 остается 8 допустимых входных комбинации. В данном случае входной код будет унитарным.

Таблица 10.1

 

 

 

входы

 

 

 

 

выходы

 

0

1

2

3

4

5

6

7

4

 

2

 

1

 

 

 

0

1

0

0

0

0

0

0

0

0

 

0

 

0

1

0

1

0

0

0

0

0

0

0

 

0

 

1

2

0

0

1

0

0

0

0

0

0

 

1

 

0

3

0

0

0

1

0

0

0

0

0

 

1

 

1

4

0

0

0

0

1

0

0

0

1

 

0

 

0

5

0

0

0

0

0

1

0

0

1

 

0

 

1

6

0

0

0

0

0

0

1

0

1

 

1

 

0

7

0

0

0

0

0

0

0

1

1

 

1

 

1

49

y(4) = x0x1x2x3x4x5x6x7 x0x1x2x3x4x5x6x7

x0x1x2x3x4x5x6x7 x0x1x2x3x4x5x6x7

y(2) = x0x1x2x3x4x5x6x7 x0x1x2x3x4x5x6x7

x0x1x2x3x4x5x6x7 x0x1x2x3x4x5x6x7

y(1) = x0x1x2x3x4x5x6x7 x0x1x2x3x4x5x6x7

x0x1x2x3x4x5x6x7 x0x1x2x3x4x5x6x7

Часто ограничение на количество нажимаемых клавиш оказывается неприемлемым, и требуется построить шифратор так, чтобы он при

одновременном нажатии нескольких клавиш реагировал только на самый младший (или самый старший) код. Преобразователи кода данного типа называют приоритетными шифраторами.

Полная таблица истинности приоритетного шифратора 4х2 представлена в таблице 10.2

Таблица 10.2

 

входы

 

выходы

 

 

входы

 

выходы

x3

x2

x1

x0

y0

y1

 

 

x3

x2

x1

x0

y0

y1

 

 

 

0

0

0

0

0

0

0

 

10

1

0

0

0

1

1

1

0

0

0

1

0

0

 

11

1

0

0

1

1

1

2

0

0

1

0

0

1

 

12

1

0

1

0

1

1

3

0

0

1

1

0

1

 

13

1

0

1

1

1

1

4

0

1

0

0

1

0

 

14

1

1

0

0

1

1

5

0

1

0

1

1

0

 

15

1

1

0

1

1

1

6

0

1

1

0

1

0

 

16

1

1

1

0

1

1

7

0

1

1

1

1

0

 

17

1

1

1

1

1

1

Запишем ФАЛ для выходов шифратора:

y0= 4V5V6V7V10V11V12V13V14V15V16V17

y1=2V3V10V11V12V13V14V15V16V17

Минимизируем ФАЛ по диаграмме Вейча:

50

Соседние файлы в предмете [НЕСОРТИРОВАННОЕ]