Добавил:
Upload Опубликованный материал нарушает ваши авторские права? Сообщите нам.
Вуз: Предмет: Файл:

MOAP_KT1

.doc
Скачиваний:
112
Добавлен:
13.04.2015
Размер:
970.24 Кб
Скачать

Тест

Дисциплина: Языки описания аппаратуры

МОАП_тест_1

Тема

Введение в дисциплину

Вопрос

Тип:1

Закончите определение: Поведенческие или функциональные модели описывают …

Ответ

Правильный:1

закон функционирования устройства без указания его схемотехнической реализации.

Вопрос

Тип:1

Закончите определение: Структурные модели описывают …

Ответ

Правильный:1

устройство как набор некоторых блоков и соединений между ними.

Вопрос

Тип:1

Закончите определение: Физические (геометрические) модели описывают …

Ответ

Правильный:1

расположение в пространстве составляющих систему элементов и соединительных линий.

Вопрос

Тип:1

В какой стране язык VHDL менее популярен, чем Verilog?

Ответ

Правильный:1

Азия

Вопрос

Тип:1

Дать общее определение понятия модели?

Ответ

Правильный:1

Модель - это описание устройства, в котором сохранены только необходимые для ее применения свойства.

Вопрос

Тип:1

Дать определение цифровой системы?

Ответ

Правильный:1

Система – это устройство, преобразующее или сохраняющее информацию.

Вопрос

Тип:1

Какой процесс проектирования используется для больших сложных проектов?

Ответ

Правильный:1

спиральный

Вопрос

Тип:1

Какие устройства относятся к классу программируемой логики?

Ответ

Правильный:1

FPGA и CPLD

Вопрос

Тип:1

Для каких микросхем конфигурация задается непосредственно в момент их создания на заводе?

Ответ

Правильный:1

ASIC и ASSP

Вопрос

Тип:1

Для каких микросхем конфигурация задается пользователем?

Ответ

Правильный:1

FPGA и CPLD

Тема

Лексические элементы языка VHDL

Вопрос

Тип:1

Сколько архитектур может быть связано с одним интерфейсом?

Ответ

Правильный:1

несколько

Вопрос

Тип:1

Укажите неправильный идентификатор

Ответ

Правильный:1

State_

Вопрос

Тип:1

Укажите неправильный идентификатор

Ответ

Правильный:1

5counter

Вопрос

Тип:1

Какой из следующих идентификаторов является правильным с точки зрения языка VHDL?

Ответ

Правильный:1

next_item

Вопрос

Тип:1

Какой из следующих идентификаторов является правильным с точки зрения языка VHDL?

Ответ

Правильный:1

item1

Вопрос

Тип:1

Какой из следующих идентификаторов является правильным с точки зрения языка VHDL?

Ответ

Правильный:1

entry_1

Вопрос

Тип:1

Какому десятичному числу соответствует выражение 16#2C#?

Ответ

Правильный:1

44

Вопрос

Тип:1

Какое из выражений является целочисленной константой равной 10?

Ответ

Правильный:1

2#1010#

Вопрос

Тип:1

Какое из выражений является целочисленной константой равной 10?

Ответ

Правильный:1

16#A#

Вопрос

Тип:1

Какое из выражений не является равным остальным трем?

Ответ

Правильный:1

B"1111_1010"

Вопрос

Тип:1

Какому десятичному числу соответствует выражение 16#2А#?

Ответ

Правильный:1

42

Вопрос

Тип:1

Какое из выражений является целочисленной константой равной 12?

Ответ 2#1100#

Вопрос

Тип:1

Какое из выражений является целочисленной константой равной 12?

Ответ

Правильный:1

16#С#

Тема

Типы данных

Вопрос

Тип:1

Какой из следующих примеров содержит ошибку.

Ответ

Правильный:1

A объект типа STD_LOGIC

Вопрос

Тип:1

Какое из выражений описывает константу целого типа равную 10?

Ответ

Правильный:1

constant A: integer:=2#1010#;

Вопрос

Тип:1

Чему равен результат выполнения следующей операции

B”100010” sra 2?

Ответ

Правильный:1

111000

Вопрос

Тип:1

Чему равен результат выполнения следующей операции

B”100010” srl 2?

Ответ

Правильный:1

001000

Вопрос

Тип:1

Чему равен результат выполнения следующей операции

B”10111010” sla 2?

Ответ

Правильный:1

11101011

Вопрос

Тип:1

Чему равен результат выполнения следующей операции

B”10111010” sll 2?

Ответ

Правильный:1

11101000

Вопрос

Тип:1

Чему равен результат выполнения следующей операции

B”10111010” rol 2?

Ответ

Правильный:1

11101010

Вопрос

Тип:1

Чему равен результат выполнения следующей операции

B”10111010” ror 2?

Ответ

Правильный:1

10101110

Вопрос

Тип:1

Для какого из следующих типов данных не является базовым тип перечисления?

Ответ

Правильный:1

time

Вопрос

Тип:1

Какой из следующих типов данных является физическим типом данных?

Ответ

Правильный:1

time

Вопрос

Тип:1

Выберите правильное утверждение.

Ответ

Правильный:1

Natural – это подтип типа integer, описывающий целые числа от 0 и до максимального положительного значения

Вопрос

Тип:1

Выберите правильное утверждение.

Ответ

Правильный:1

Positive – это подтип типа integer, описывающий целые числа от 1 и до максимального положительного значения

Тема

Операторы

Вопрос

Тип:1

Какое из высказываний не верно?

Ответ

Правильный:1

Переменные описываются в декларативной части архитектуры

Вопрос

Тип:1

Какое из высказываний не верно?

Ответ

Правильный:1

Сигналы должны быть объявлены в процессе и являются локальными для них.

Вопрос

Тип:1

Чему будет равен сигнал sum после инициализации моделирования?

Ответ

Правильный:1

10

Вопрос

Тип:1

Чему будет равен сигнал sum после инициализации моделирования?

Ответ

Правильный:1

7

Вопрос

Тип:1

Выбрать waveform (временную диаграмму), соответствующую работе операторов.

Ответ

Правильный:1

Вопрос

Тип:1

Выбрать waveform(временную диаграмму), соответствующую работе следующих операторов.

Ответ

Правильный:1

Вопрос

Тип:1

Какой/ие из следующих операторов являются параллельными?

Ответ

Правильный:1

Вопрос

Тип:1

Какой/ие из следующих операторов являются последовательными и могут быть использованы только внутри процесса?

Ответ

Правильный:1

Тема

Транспортная и инерционная задержки 1

Вопрос

Тип:1

Какому из выражений соответствуют следующие временные диаграммы?

Ответ

Правильный:1

Z<= transport not X after 10 ns;

Вопрос

Тип:1

Какому из выражений соответствуют следующие временные диаграммы?

Ответ

Правильный:1

Z <= not X after 10 ns;

Вопрос

Тип:1

Какому из выражений соответствуют следующие временные диаграммы?

Ответ

Правильный:1

Z <= reject 4 ns inertial not X after 10 ns;

Вопрос

Тип:1

Какому из выражений соответствуют следующие временные диаграммы?

Ответ

Правильный:1

Y<= transport X after 3 ns;

Вопрос

Тип:1

Какому из выражений соответствуют следующие временные диаграммы?

Ответ

Правильный:1

Y<= X after 3 ns;

Вопрос

Тип:1

Какому из выражений соответствуют следующие временные диаграммы?

Ответ

Правильный:1

Y<= reject 2 ns inertial X after 3 ns;

Вопрос

Тип:1

Какая модель задержки используется в следующем выражении?

X<='0' after 10 ns, '1' after 20 ns, '0' after 30 ns, '1' after 40 ns;

Ответ

Правильный:1

инерционная с полосой пропускания равной 10

Вопрос

Тип:1

Какая модель задержки используется в следующем выражении?

X<='0', '1' after 10 ns, '0' after 20 ns, '1' after 30 ns;

Ответ

Правильный:1

инерционная с полосой пропускания равной 0

Вопрос

Тип:1

Какая модель задержки используется в следующем выражении?

X<=reject 5 ns inertial '0' after 10 ns, '1' after 20 ns, '0' after 30 ns, '1' after 40 ns;

Ответ

Правильный:1

инерционная с полосой пропускания равной 10

Вопрос

Тип:1

Какие из приведенных результатов можно получить, моделируя устройство заданное VHDL кодом?

Y <= transport A after 5 ns;

Ответ

Правильный:1

Вопрос

Тип:1

Какие из приведенных результатов можно получить, моделируя устройство заданное VHDL кодом?

Y <= A after 5 ns;

Ответ

Правильный:1

Вопрос

Тип:1

Какие из приведенных результатов можно получить, моделируя устройство заданное VHDL кодом?

Y <= transport A after 5 ns;

Ответ

Правильный:1

Вопрос

Тип:1

Какие из приведенных результатов можно получить, моделируя устройство заданное VHDL кодом?

Y <= A after 5 ns;

Ответ

Правильный:1

Ответ

Правильный:1

Вопрос

Тип:1

Какое будет иметь значение сигнал S после по окончании моделирования?

Ответ

Правильный:1

'1'

Тема

Транспортная и инерционная задержки

Вопрос

Тип:1

Какие транзакции будут занесены в очередь сигнала X после выполнения следующего оператора в момент времени 0?

X <= reject 5 ns inertial 1 after 7 ns, 23 after 9 ns, 5 after 10 ns, 23 after 12 ns, - 5 after 15 ns;

Ответ

Правильный:1

-5@15 ns

Вопрос

Тип:1

Какие транзакции будут занесены в очередь сигнала X после выполнения следующих операторов в момент времени 0?

Ответ

Правильный:1

23@13 ns

Вопрос

Тип:1

Какие транзакции будут занесены в очередь сигнала X после выполнения следующего оператора в момент времени 0?

X <= reject 5 ns inertial 1 after 7 ns, 23 after 12 ns, 5 after 14 ns, 23 after 15 ns;

Ответ

Правильный:1

1@7 ns, 23@15 ns

Вопрос

Тип:1

Какие транзакции будут занесены в очередь сигнала X после выполнения следующего оператора в момент времени 0?

X <= reject 5 ns inertial 1 after 7 ns, 2 after 12 ns, 5 after 18 ns, 23 after 25 ns;

Ответ

Правильный:1

1@7 ns, 2@12 ns, 5@18 ns, 23@25 ns

Вопрос

Тип:1

Какие транзакции будут занесены в очередь сигнала X после выполнения следующего оператора в момент времени 0?

X <= reject 5 ns inertial 1 after 7 ns, 12 after 12 ns, 5 after 18 ns, 23 after 20 ns;

Ответ

Правильный:1

1@7 ns, 12@12 ns, 23@20 ns

Вопрос

Тип:1

Пусть следующие последовательные операторы выполняются в момент T=0. Какие транзакции будут занесены в очередь сигнала A?

Ответ

Правильный:1

B@1 ns, C@2 ns

Вопрос

Тип:1

Пусть следующие последовательные операторы выполняются в момент T=0. Какие транзакции будут занесены в очередь сигнала A?

Ответ

Правильный:1

B@1 ns

Вопрос

Тип:1

Пусть следующие последовательные операторы выполняются в момент T=0. Какие транзакции будут занесены в очередь сигнала A?

Ответ

Правильный:1

B@1 ns

Вопрос

Тип:1

Пусть следующие последовательные операторы выполняются в момент T=0. Какие транзакции будут занесены в очередь сигнала A?

Ответ

Правильный:1

C@2 ns

Вопрос

Тип:1

Пусть следующие последовательные операторы выполняются в момент T=0. Какие транзакции будут занесены в очередь сигнала A?

Ответ

Правильный:1

B@1 ns, C@2 ns

Вопрос

Тип:1

Пусть следующие последовательные операторы выполняются в момент T=0. Какие транзакции будут занесены в очередь сигнала A?

Ответ

Правильный:1

B@1 ns, C@2 ns, D@3 ns

Вопрос

Тип:1

Пусть следующие последовательные операторы выполняются в момент T=0. Какие транзакции будут занесены в очередь сигнала A?

Ответ

Правильный:1

D@3 ns

Вопрос

Тип:1

Пусть следующие последовательные операторы выполняются в момент T=0. Какие транзакции будут занесены в очередь сигнала A?

Ответ

Правильный:1

C@2 ns, D@4 ns

Тема

Структурные модели

Вопрос

Тип:1

Закончите фразу: "Поведенческие или функциональные модели описывают …"

Ответ

Правильный:1

закон функционирования устройства без указания его схемотехнической реализации

Вопрос

Тип:1

Закончите фразу: "Структурные модели описывают … ".

Ответ

Правильный:1

устройство как набор некоторых блоков и соединений между ними.

Вопрос

Тип:1

Что означает данное выражение?

Ответ

Правильный:1

Оператор прямой реализации компонента с позиционной ассоциацией портов

Вопрос

Тип:1

Что означает данное выражение?

Ответ

Правильный:1

Оператор прямой реализации компонента с именной ассоциацией портов

Вопрос

Тип:1

Что означает данное выражение?

Ответ

Правильный:1

Оператор реализации компонента с позиционной ассоциацией портов

Вопрос

Тип:1

Что означает данное выражение?

Ответ

Правильный:1

Оператор прямой реализации компонента с позиционной ассоциацией портов

Вопрос

Тип:1

Какой код соответствует следующему рисунку?

Ответ

Правильный:1

Тема

Ассоциации портов

Вопрос

Тип:1

Для чего используется ключевое слово open в списке ассоциации портов?

Ответ

Правильный:1

Для того чтобы указать, что соответствующий порт остался не связанным

Ответ

Правильный:0

Эта конструкция допустима только для выходов. Обозначает, что соответствующий выход остался не связанным

Ответ

Правильный:0

Эта конструкция допустима только для входов. Обозначает, что соответствующий вход остался не связанным

Вопрос

Тип:1

Для чего используется ключевое слово null в списке ассоциации портов?

Ответ

Правильный:1

Это запрещенная конструкция

Вопрос

Тип:1

Для чего используется ключевое слово next в списке ассоциации портов?

Ответ

Правильный:1

Это запрещенная конструкция

Вопрос

Тип:1

Что такое позиционная ассоциация?

Ответ

Правильный:1

Способ определения сигналов в карте портов, когда каждый сигнал связывается с портом, расположенным в описании интерфейса в том же самом месте, что и этот сигнал в операторе реализации интерфейса.

Вопрос

Тип:1

Что такое именная ассоциация?

Ответ

Правильный:1

Способ описания сигналов в карте портов, когда явно указывается связь между портами компонента и сигналами проекта

Вопрос

Тип:1

Какой из следующих операторов является оператором прямой реализации компонента с именной ассоциацией портов?

Ответ

Правильный:1

Вопрос

Тип:1

Какой из следующих операторов является оператором прямой реализации компонента с позиционной ассоциацией портов?

Ответ

Правильный:1

Вопрос

Тип:1

Пусть есть следующее определение интерфейса:

какое значение будет на входе b2 при использовании следующего оператора реализации компонента?

Ответ

Правильный:1

'1'

Вопрос

Тип:1

Пусть есть следующее определение интерфейса:

какое значение будет иметь порт b2 после выполнения следующего оператора реализации компонента?

Ответ

Правильный:1

'0'

Вопрос

Тип:1

Пусть есть следующее определение интерфейса:

какое значение будет иметь порт b2 после выполнения следующего оператора реализации компонента?

Ответ

Правильный:1

'0'

Вопрос

Тип:1

Что описывает выделенная конструкция в следующем операторе?

Ответ

Правильный:1

порт b2 открытый (несвязанный)

Вопрос

Тип:1

Что описывает выделенная конструкция в следующем операторе?

Ответ

Правильный:1

входной порт b2 открытый, значение на нем равно ‘1’

Вопрос

Тип:1

Что описывает выделенная конструкция в следующем операторе?

Ответ

Правильный:1

входной порт b2 открытый, значение на нем равно ‘0’

Вопрос

Тип:1

Что описывает выделенная конструкция в следующем операторе?

Ответ

Правильный:1

выходной порт y2 открытый, значение с него игнорируется

Тема

Generic-константы

Вопрос

Тип:1

Чему равно значения констант Trise, Tfall и load в копиях компонента NAND2 с метками U1 и U2?

Ответ

Правильный:1

U1: Trise = 2 ns, Tfall = 1 ns, load = 2

U2: Trise = 3 ns, Tfall = 2 ns, load = 1

Вопрос

Тип:1

В каком месте может быть выполнена декларация generic-констант?

Ответ

Правильный:1

в интерфейсе

Вопрос

Тип:1

Каким образом может быть изменено значение generic-константы?

Ответ

Правильный:1

С помощью оператора реализации копии компонента

Вопрос

Тип:1

Чему равна длина векторов в следующем описании интерфейса?

Ответ

Правильный:1

Размер будет определен при использовании, операторе реализации компонента

Вопрос

Тип:1

Что описывает следующий код?

Ответ

Правильный:1

Конфигурацию с именем reg4_gate_level для модуля reg4 с архитектурой struct

Вопрос

Тип:1

Что такое конфигурация ?

Ответ

Правильный:1

Структурный элемент проекта, который реализует связь между копией компонента структурной модели с интерфейсом модели подэлемента.

Вопрос

Тип:1

Что описывают следующие записанные в конфигурации операторы:

Ответ

Правильный:1

устройство с интерфейсом edge_triggered_Dff и архитектурой basic связывается с копиями компонента flipflop, имеющими метки bit0, bit1

Соседние файлы в предмете [НЕСОРТИРОВАННОЕ]