Скачиваний:
196
Добавлен:
08.06.2016
Размер:
635.39 Кб
Скачать
      1. Макрофункции

MAX+PLUS II предлагает свыше 300 макрофункций.

Имена шинных макрофункций оканчиваются на букву В. Они функционально идентичны с соответствующими не шинными макрофункциями, но имеют сгруппированные входные и/или выходные выводы.

Для просмотра схемы или AHDL файла содержащего макрофункцию, укажите символ макрофункции в графическом редакторе или имя макрофункции в текстовом редакторе и выберите Hierarchy Down(меню File).

Категории макрофункций:

Сумматоры Триггеры-защелки

АЛУ Умножители

Буферы Мультиплексоры

Компараторы Генераторы четности

Конвертеры Быстрые умножители

Счетчики Регистры

Декодеры Сдвиговые регистры

Цифровые Регистры хранения

фильтры

EDAC SSI функции

Шифраторы Элементы ввода/вывода

Делители частоты

      1. Мегафункции и библиотеки параметризуемых модулей

Параметризуемая функция - логическая функция, использующая параметры для достижения масштабируемости, адаптируемости и эффективной реализации в кремнии.

Библиотека параметризуемых функций (LPM) - технологически-независимая библиотека логических функций, параметризуемая для достижения масштабируемости и адаптируемости. Altera реализовала параметризуемые модули (называемые также параметризуемые функции) из LPM в версии 2.1.0, которые предлагают архитектурно-независимый ввод проекта для всех, поддерживаемых MAX+PLUS II устройств. Компилятор включает встроенную поддержку компиляции LPM для функций, используемых во входных файлах (схемном, AHDL, VHDL, и EDIF).

Мегафункция - сложный или высокоуровневый строительный блок, который можно использовать совместно с примитивами вентилей и триггеров и/или с макрофункциями старого типа в файлах проекта.

Altera поставляет библиотеку мегафункций, включая функции из библиотеки параметризуемых модулей (LPM) версии 2.1.0, в директории \maxplus2\max2lib\mega_lpm, созданной во время инсталляции.

Для просмотра файла, содержащего логику мегафункции, укажите символ мегафункции в графическом редакторе или ее имя в текстовом редакторе и выберите Hierarchy Down(меню File).

Мегафункции Мегаядра- предварительно проверенные HDL файлы для сложных функций системного уровня, которые можно приобрести у Altera. Они оптимизированы под архитектуры FLEX 10K, FLEX 8000, FLEX 6000, MAX 9000, и MAX 7000 устройств. Мегафункции Мегаядра состоят из нескольких файлов. Файл для последующего синтеза используется для реализации проекта (подгонки) в заданном устройстве. Кроме этого прилагаются VHDL или Verilog HDL функциональные модели для проектирования и отладки со стандартными EDA средствами моделирования.

Altera поставляет библиотеку мегафункций, включая любые приобретаемые мегафункции Мегаядра в директории \maxplus2\max2lib\mega_lpm, созданной во время инсталляции.

Если Ваш код доступа для мегафункции Мегаядра содержит разрешение просмотра источника файла проекта, Вы можете просмотреть его, указывая символ мегафункции в графическом редакторе или имя в текстовом редакторе и выбирая Hierarchy Down(меню File).

MAX+PLUS II предлагает большое разнообразие мегафункций, включаяLPMфункции а такжепараметризуемыефункции.

Ниже приводится список мегафункций.

Таблица 9. Мегафункции логических вентилей

lpm_and

lpm_inv

lpm_bustri

lpm_mux

lpm_clshift

lpm_or

lpm_constant

lpm_xor

lpm_decode

mux

busmux

Таблица 10. Мегафункции арифметических компонентов

lpm_abs

lpm_counter

lpm_add_sub

lpm_mult

lpm_compare

Таблица 11. Мегафункции запоминающих компонентов

csfifo

lpm_ram_dq

csdpram

lpm_ram_io

lpm_ff

lpm_rom

lpm_latch

lpm_dff

lpm_shiftreg

lpm_tff

Таблица 12. Другие мегафункции

clklock

pll

ntsc

Таблица 13. Мегафункции мегаядра

a16450

a8255

a6402

fft

a6850

rgb2ycrcb

a8237

ycrcb2rgb

a8251

Ниже приводится описание наиболее часто применяемых мегафункций. Полные сведения по всем мегафункциям можно найти в системе помощи (меню Help, команда Megafunctions/LPM).

lpm_and(вентиль И)

Altera рекомендует использовать примитивы вентилей И или их операторы вместо lpm_and для более легкой реализации и улучшения времени компиляции. Тем не менее lpm_and могут быть полезны при необходимости иметь параметризуемые входы.

Прототип функции

FUNCTION lpm_and

(data[LPM_SIZE-1..0][LPM_WIDTH-1..0])

WITH (LPM_WIDTH, LPM_SIZE)

RETURNS (result[LPM_WIDTH-1..0])

Порты :

Таблица 14. Порты входа

Имя порта

Необходим

Описание

Комментарии

data[][]

Да

Вход данных в вентиль И

Размер порта LPM_SIZE x LPM_WIDTH

Таблица 15. Порты выхода

Имя порта

Необходим

Описание

Комментарии

result[]

Да

Побитовое И.

Размер порта LPM_WIDTH.

Параметры

Параметр

Тип

Необходим

Описание

LPM_WIDTH

Целый

Да

Ширина портов data[][] и result[]. Количество AND вентилей.

LPM_SIZE

Целый

Да

Количество входов в каждый AND вентиль. Количество входных шин.

Таблица 16. Функции вентилей "И"

Входы

Выходы

data[LPM_SIZE-1]_[LPM_WIDTH-1]

result[LPM_WIDTH-1]

0XXX...

0

X0XX...

0

XX0X...

0

...

...

1111...

1

Используемый ресурс:

Простые вентили lpm_and используют приблизительно одну логическую ячейку на вентиль.

Соседние файлы в папке Минина 2014
  • #
    08.06.2016338.77 Кб291113PV1.pdf
  • #
    08.06.2016635.39 Кб196ahdl.doc
  • #
    08.06.20167.32 Кб22Digital term.vi
  • #
    08.06.201651 б22L8.asv
  • #
    08.06.201645 б22L8.m
  • #
    08.06.201613.4 Кб24temp. analis.vi
  • #
    08.06.201616.62 Кб23temp. control.vi