Добавил:
Upload Опубликованный материал нарушает ваши авторские права? Сообщите нам.
Вуз: Предмет: Файл:
LR_CompModCU_Akchurin_2012.pdf
Скачиваний:
170
Добавлен:
18.05.2015
Размер:
6.98 Mб
Скачать

6.1.2. D триггер

D триггер имеет один информационный вход D (Delay – задержка или Data - данные), вход разрешения записи !CLR (означает - нет стирания Clear) и вход записи СLK (Clock). По значению D состояние триггера обновляется при !CLR=1 по сигналу синхронизации CLK=1. Таблица переходов:

!CLR

D

Выход Q

Режим

0

0

Q(n-1)

Хранение

0

1

Q(n-1)

Хранение

1

0

0

Сбос в 0

1

1

1

Запись D

Модель функционирования D триггера. В ней нужно отобразить входные и выходные сигналы триггера. Провести ее моделирование.

Включить симулирование (моделирование) командой Simulation=>Start (или кнопкой стрелки вправо на панели инструментов модели). В окне Scope отображаются графики сигналов.

56

57

6.1.3. D триггер защелка

D триггер защелка имеет один информационный вход D (Delay – задержка или Data - данные) и вход синхронизации C. Значение D запоминается по сигналу C=1. Если C=0, то D триггер хранит предыдущее состояние. Таблица переходов:

C

D

Выход Q

Режим

0

0

Q(n-1)

Хранение

0

1

Q(n-1)

Хранение

1

0

0

Запись D=0

1

1

1

Запись D=1

Модель функционирования D триггера защелки. В ней нужно отобразить входные и выходные сигналы триггера. Провести ее моделирование.

58

Включить симулирование (моделирование) командой Simulation=>Start (или кнопкой стрелки вправо на панели инструментов модели). В окне Scope отображаются графики сигналов.

59

Соседние файлы в предмете [НЕСОРТИРОВАННОЕ]