Добавил:
Upload Опубликованный материал нарушает ваши авторские права? Сообщите нам.
Вуз: Предмет: Файл:
cris_diss_21_07_last.docx
Скачиваний:
9
Добавлен:
18.12.2018
Размер:
10.16 Mб
Скачать

МІНІСТЕРСТВО ОСВІТИ І НАУКИ, МОЛОДІ ТА СПОРТУ УКРАЇНИ

ХАРЬКОВСКий национальный университет радиоэлектроники

На правах рукописи

КРИСТОФЕР УМЕРАХ НГЕНЕ

УДК 658:512.011:681.326:519.713

ИНФРАСТРУКТУРА ВСТРОЕННОГО ДИАГНОСТИРОВАНИЯ HDL-МОДЕЛЕЙ ЦИФРОВЫХ СИСТЕМ НА КРИСТАЛЛАХ

05.13.05 – компьютерные системы и компоненты

ДИССЕРТАЦИЯ

на соискание ученой степени кандидата технических наук

Научный руководитель

Хаханов Владимир Иванович

доктор технических наук, профессор

Харьков – 2011

СОДЕРЖАНИЕ

ВВЕДЕНИЕ 4

РАЗДЕЛ 1 13

Технологии ТЕСТИРОВАНИЯ и верификации 13

цифровых систем НА КРИСТАЛЛАХ 13

1.1. Современные проблемы верификации систем-на-кристаллах 14

1.2. Моделирование на уровне транзакций 18

1.3. Верификация на основе ассерций 22

1.4. Синтез ассерций 27

1.5. Средства верификации цифровых систем с использованием ассерций 31

1.6. Постановка цели и задач диссертационного исследования 38

РАЗДЕЛ 2 41

МОДЕЛИ ДИАГНОСТИРОВАНИЯ ФУНКЦИОНАЛЬНЫХ НАРУШЕНИЙ HDL-КОДА ЦИФРОВЫХ СИСТЕМ НА КРИСТАЛЛАХ 41

2.1. Введение в тему исследования 42

2.2. Модель процессов тестирования и верификации 45

2.3. Модель поиска функциональных нарушений в программе 50

2.4. Дискретная производная как бинарное xor-отношение 63

2.5. Выводы и рекомендации 67

РАЗДЕЛ 3 71

МЕТОДЫ ДИАГНОСТИРОВАНИЯ ФУНКЦИОНАЛЬНЫХ НАРУШЕНИЙ 71

3.1. Форма представления модели 72

3.2. Метод векторно-логического анализа столбцов 78

3.3. Метод векторно-логического анализа строк 83

3.4. Матричный метод поиска функциональных нарушений в программных блоках 85

3.5. Выводы и рекомендации 90

РАЗДЕЛ 4 93

ИНФРАСТРУКТУРА ВСТРОЕННОГО ТЕСТИРОВАНИЯ ФУНКЦИОНАЛЬНЫХ НАРУШЕНИЙ HDL-КОДА 93

4.1 Мультипроцессорные решения задач сервисного обслуживания 95

4.2. Мультиматричный процессор анализа бинарных операций 98

4.3. Аппаратная реализация мультиматричного процессора 104

4.4. Аппаратная имплементация инфраструктуры тестирования 115

4.5. Система тестирования и верификации HDL-кода 118

4.6. Выводы и рекомендации 121

ЗАКЛЮЧЕНИЕ 124

Приложение 128

Листинги программ и акты о внедрении результатов диссертации 128

Приложение A. Программа для аппаратной реализации мультиматричного процессора 129

Приложение Б. Подробный отчёт синтеза 156

Приложение В. Аппаратная имплементация инфраструктуры тестированиия 158

Приложение Г. Документы, подтверждающие внедрение 165

СПИСОК ИСПОЛЬЗОВАННЫХ ИСТОЧНИКОВ 168

Введение

Актуальность исследования. Рынок электронных технологий создает условия для развития: 1) 2D-3D нано-электронных технологий с разрешением 45-28 nm – сейчас, 20 nm – 2012 год, 14 nm – 2014, 11 nm – 2015 – для создания цифровых систем на кристаллах, в пакетах, в объеме; 2) средств проектирования EDA, ориентированных на использование мультипроцессоров и транзакционных моделей (TLM) для синтеза и тестирования цифровых изделий; 3) специализацию цифровых систем на кристаллах для реализации энергосберегающих устройств на основе ASIC или PLD. Заданные направления создают условия для появления на рынке широкого спектра специализированных цифровых изделий (гаджетов – gadget), вытесняющих универсальные технические решения, ориентированных на создания новых коммуникационных, вычислительных и информационных сервисов, полезных для человека, обладающих существенными преимуществами перед персональными компьютерами и ноутбуками: энергопотребление, компактность, вес, стоимость, функциональные возможности, дружественность интерфейса. Переход от вычислительных платформ к мобильным устройствам с малым форм-фактором приведет к существенному снижению энергопотребления во всем мире. Надвигается следующая волна компьютеризации под названием «Internet вещей», которая приведет к широкому распространению RFID-сетей, включая их интеграцию в человеческое тело. Уже сегодня рынок гаджетов и цифровых систем на кристаллах насчитывает порядка 3 миллиардов изделий. Для эффективного проектирования, производства и эксплуатации такой массы цифровых изделий необходимо создавать новые экономичные технологии и инфраструктуры сервисного обслуживания на стадиях проектирования, производства и эксплуатации. Исходя из актуальности диссертационная работа направлена на создание новых моделей, методов и средств тестирования и диагностирования функциональных нарушений HDL-кода цифровой системы на кристалле, представленных: ошибками разработчиков в системной модели; несовершенством существующих технологий диагностирования; невысокой производительностью определения диагноза с заданной глубиной. Ожидаемый эффект от внедрения. Новое предлагаемое решение проблемы тестирования и диагностирования HDL-моделей позволит на 20% снизить суммарные затраты на проектирование цифровых систем на кристаллах. Ведущие компании в области EDA (Cadence, Synopsys Inc., Mentor Graphics, Magma, IBM, Intel, Sun Microsystems, Atrenta, Aldec) предлагают сосредоточить усилия ученых на создании методов и программно-аппаратных средств тестирования, исключающих возникновение ошибок, связанных с человеческим фактором, обеспечивающих диагностирование функциональных нарушений на системной стадии проектирования, где имеется высокий уровень абстракции моделей и тестов, использующих механизм ассерций для достижении я заданной глубины поиска дефектов. В настоящее время популярность ассерций поддержана крупнейшими компаниями (Cadence, Synopsys, Mentor Graphics) и рядом языковых стандартов, таких как: PSL, OpenVera Assertion – функциональная верификация на ранних стадиях проектирования; IEEE 1800-2005 SystemVerilog интегрирует перспективные технологии функциональной верификации последних лет (ассерции, объектно-ориентированный testbench, транзакционное моделирование Transaction-Level Modeling, анализ функционального покрытия Coverage-Driven Verification; генерация псевдослучайных тестов Constrained Random Verification). Исходя из сказанного выше, актуальной научной и практической задачей является создание инфраструктуры встроенного тестирования HDL-кода цифровых систем на кристаллах, использующей механизм ассерций и логический мульти-матричный процессор, что обеспечивает повышение качества проекта за счет увеличения структурной сложности программно-аппаратных средств диагностирования функциональных нарушений.

Проблемами проектирования, тестирования и диагностирования цифровых систем успешно занимаются ученые: J. Bergeron, L. Bening, H. Foster, R. Drechsler, Z. Navabi, A. Jerraya, D.B. Armstrong, M. Breuer, Y. Zorian, P. Prinetto, J. Abraham, H. Fujiwara, I. Pomeranz, T. Nishida, X. Wang, F. Fummi, А. И. Петренко, Р. Убар, А. М. Романкевич, Д. В. Сперанский, П. П.Пархоменко, Ю.В.Малышенко, В. Н. Ярмолик, В. П. Чипулис, J. P.Roth, А.Ю.Матросова, В.А.Твердохлебов, Ю.А.Скобцов, М.Ф.Каравай, В. С. Харченко, Л. В. Дербунович, Р. Шейнаускас, А.Н. Чеботарев.

Связь работы с научными программами, хоздоговорными темами.

Разработка основных положений диссертации осуществлялась в соответствии с планами НИР, программами и договорами, выполняемыми на кафедре АПВТ Харьковского национального университета радиоэлектроники в период с 2007 года: 1) Договор о долгосрочном научно-техническом сотрудничестве в области автоматизированного проектирования с фирмой Аldec (USA), № 02 от 19.11.2007 «Разработка программных и аппаратных средств верификации систем на кристалле, реализуемых на основе программируемых логических интегральных схем»; 2) Договор о научно-техническом сотрудничестве в области создания систем автоматизированного тестирования с Таллиннским техническим университетом № 02 от 17.11.2008; 3) Госбюджетная НИР «Розробка математичних методів, алгоритмів та інструментальних засобів надшвидких перетворень зображень», розділ «Розробка основ нових інформаційних технологій в автоматизованому проектуванні, діагностиці засобів обчислювальної техніки» (№ ГР 0101U001948); 4) Теорія й проектування енергозберігаючих цифрових обчислювальних систем на кристалах, що моделюють і підсилюють функціональні можливості людини, д/б № 232, 2009, № ГР 0109U001646; 5) Мультипроцесорна система пошуку, розпізнавання та прийняття рішень для інформаційної комп'ютерної екосистеми, д/б № 259-1, 2011, №ДР 0111U002956.

При выполнении указанных выше договоров и программ автор принимал непосредственное участие в разработке моделей и методов тестирования цифровых систем на кристаллах, а также в реализации аппаратных компонентов системы диагностирования на основе ассерций, интегрированных в продукт Riviera, Aldec, в качестве инженера-программиста.

Сущность диссертационной работы заключается в разработке новых моделей и методов тестирования и диагностирования, имплементированных в инфраструктуру встроенного тестирования, интегрированную с промышленной системой Riviera для уменьшения времени верификации моделей цифровых систем на кристаллах при поддержке аппаратных ускорителей на стадиях системного проектирования, что существенно (20%) уменьшает время выхода годной продукции на рынок (time-to-market).

Цель исследования – уменьшение времени верификации и повышение качества ESL-моделей цифровых изделий на кристаллах путем введения программно-аппаратной избыточности в технологию проектирования, обеспечивающей заданную глубину диагностирования HDL-кода.

Задачи исследования:

  1. Разработать ESL-модели встроенного тестирования цифровых систем на кристаллах на основе использования транзакционного графа и механизма ассерций.

  2. Разработать векторно-логические методы верификации и диагностирования цифровых систем на кристаллах с применением механизма ассерций.

  3. Разработать архитектуру логического ассоциативного мультиматричного процессора для сервисного обслуживания функциональностей цифровых систем на кристаллах.

  4. Выполнить имплементацию и верификацию моделей, методов и архитектуры процессора, интегрированных в инфраструктуру встроенного тестирования цифровых систем на кристаллах.

Объект исследования – процесс проектирования и тестирования цифровых систем на кристаллах, представленных с помощью языков описания аппаратуры высокого уровня для HDL-симулятора.

Предмет исследования – HDL-модели, методы и средства тестирования и функциональной верификации цифровых систем на кристаллах на основе использования транзакционного графа и механизма ассерций.

Методы исследования – булева алгебра, векторно-ассоциативная логика, теория множеств, теория графов, теория цифровых автоматов – для построения аналитической модели тестирования; логический анализ, теория алгоритмов, методы проектирования и моделирования цифровых систем – для построения структур данных и системы тестирования; методы анализа качества моделей и эффективности верификации цифровых систем – для достижения заданной глубины диагностирования предложенными методами; промышленные средства логического синтеза схем и анализа функционального покрытия, а также генерации тестов – для создания программно-аппаратной инфраструктуры диагностирования HDL-кода.

Научная новизна определяется следующими пунктами:

  1. Новая модель процессов тестирования и верификации, которая характеризуется использованием бета-метрики, что дает возможность аналитически сформулировать все задачи технической диагностики программно-аппаратных продуктов.

  2. Новая модель HDL-кода в форме транзакционного графа, которая характеризуется взаимодействием программных блоков, механизма ассерций и функциональным покрытием, что дает возможность осуществлять диагностирование ошибок в процессе моделирования.

  3. Усовершенствованные методы диагностирования функциональных нарушений HDL-кода на основе ассерций, которые отличаются параллелизмом выполнения векторных логических операций над матрицей неисправностей, что дает возможность уменьшить время анализа результатов моделирования при поиске дефектов.

  4. Усовершенствованная архитектура логического ассоциативного мультиматричного процессора, которая отличается ориентацией на встроенное сервисное обслуживание функциональных блоков цифровых систем на кристаллах, что дает возможность повысить производительность процессов верификации и диагностирования.

Практическая значимость результатов исследования:

  1. Модели и методы тестирования, а также архитектура специализированного мультиматричного процессора доведены до практической реализации в виде программно-аппаратных компонентов инфраструктуры, интегрированной с системой Riviera (Aldec), что предоставило возможность создавать эффективные маршруты проверки и диагностирования HDL-кода цифровых систем на кристаллах.

  2. Интеграция программных HDL-моделей и аппаратной реализации методов диагностирования функциональных нарушений позволила существенно (20%) сократить временные затраты для моделирования и верификации в процессе тестирования цифровых изделий.

  3. Инфраструктура тестирования HDL-кода предоставляет возможность аппаратно обрабатывать программно-аппаратные модели проектируемого изделия, что позволяет существенно (50%) уменьшить время диагностирования и коррекции кода в процессе итерационной отладки.

  4. Предложенные модели и методы, составляющие основу программно-аппаратной инфраструктуры тестирования на основе ассерций существенно (20%) повышают тестопригодность цифровой системы в целом, что позволяет уменьшить временные затраты для синтеза теста повысить его функциональную полноту и качество проектируемого изделия.

Полученные в процессе исследований научные выводы и положения являются обоснованными и достоверными. Обоснованность подтверждается результатами экспериментальных исследований, обработкой 10 реальных моделей систем на кристаллах, ориентированных на промышленное использование. Результаты экспериментов подтверждают высокую эффективность диагностирования функциональных нарушений в HDL- моделях, а так же существенное повышение производительности программно-аппаратной системы тестирования по сравнению с существующими академическими аналогами. Достоверность научных выводов подтверждается интеграцией разработанной инфраструктуры тестирования в программный комплекс Riviera компании Aldec (США). Результаты диссертации в составе программно-аппаратного комплекса внедрены в организациях: 1) ОАО НИИРИ, Харьков (справка о внедрении от 21.05.2011), 2) Харьковский национальный университет радиоэлектроники, в учебном процессе (акт о внедрении от 30.05.2011) 3) Компания Aldec, USA от 11.06.2011.

Личный вклад соискателя. Все основные научные и практические результаты получены автором диссертации лично. В работах, опубликованных с соавторами, соискателю принадлежат: [112] – новая модель HDL-кода в форме транзакционного графа, которая характеризуется взаимодействием программных блоков, механизма ассерций и функциональным покрытием; [113] – новая модель процессов тестирования и верификации, которая характеризуется использованием бета-метрики; [114] – обзор архитектур мультипроцессоров; [115] – усовершенствованная архитектура логического ассоциативного мультиматричного процессора, которая отличается ориентацией на встроенное сервисное обслуживание функциональных блоков цифровых систем на кристаллах; [116] – усовершенствованный метод диагностирования функциональных нарушений HDL-кода на основе ассерций, который отличается параллелизмом выполнения векторных логических операций над матрицей неисправностей; [117] – сервисное обслуживание современных цифровых систем на кристаллах на основе использования механизма ассерций; [118] – тестирование и верификация HDL-моделей компонентов SOC с использованием механизма ассерций; [119] – инфраструктура логического анализа ассоциативных таблиц; [120] – усовершенствованный метод диагностирования памяти; [121] – программно-аппаратная имплементация систем вычислительного интеллекта; [122] – аппаратная реализация технологий восстановления работоспособности цифровых систем на кристаллах на основе FPGA; [123] – метод диагностирования функциональных нарушений системы на кристалле; [124] – метод тестирования HDL-модели; [125] – улучшение качества проекта системы на кристалле путем верификации с использованием механизма ассерций; [126] – инфраструктура саморазвивающейся компьютерной системы; [127] – мультипроцессорная инфраструктура анализа информационного пространства; [128] – метрика векторно-логического пространства; [129] – процесс-модель анализа ассоциативных структур данных; [130] – архитектура логического ассоциативного мультипроцессора; [131] – применение логического мультипроцессора для анализа информации; [132] – матричный метод поиска функциональных нарушений.

Апробация результатов диссертации. Основные результаты работы были представлены и обсуждены на следующих конференциях: 1) Xth International Conference CADSM 2009, Lviv-Polyana, 2009; 2) международная научная конференция «Интеллектуальные системы принятия решений и проблем вычислительного интеллекта» (ISDMCI`09), Евпатория, 2009; 3) V международная конференция «Стратегия качества в промышленности и образовании», Варна, 2009; 4) International Conference «IFAC Discrete-Event System Design», Spain, 2009; 5-6) IEEE East-West Design and Test Symposium, Russia, 2009, 2010; 7) VI международная научно-техническая конференция «Повышение качества, надежности и долговечности технических систем и технологических процессов», Хургада, 2009; 8) XV международная научно-практическая конференция «Актуальные вопросы развития инновационной деятельности», Алушта, 2010; 9) міжнародна наукова конференція «Інтелектуальні системи прийняття рішень та проблеми обчислювального інтелекту», Євпаторія, 2010; 10) научная конференция "Сучасні інформаційні та інноваційні технології на транспорті MINTT-2010", Херсон, 2010; 11) 7-я международная конференция «Автоматизация проектирования дискретных систем», Минск, 2010; 12) The 12th Biennial Baltic Electronics Conference (BEC), Tallinn, 2010: 13) VI міжнар. науково-практична конференція «Наука и социальные проблемы общества: информация и информационные технологии», 2011, Харків.

Публикации. Результаты диссертационной работы опубликованы в 23 печатных трудах, среди которых 8 статей в научных журналах, включенных в Перечень ВАК Украины, а также 15 докладов на научных конференциях.

Соседние файлы в предмете [НЕСОРТИРОВАННОЕ]